From 7ea1eb400bdd39b115db5cc10c7e153e34fd89b4 Mon Sep 17 00:00:00 2001 From: Matt Troutman Date: Sun, 21 Jul 2024 01:46:45 -0500 Subject: [PATCH] Adding glyph assets to the repo --- assets/Slice 1.svg | 5 +++++ assets/Slice 10.svg | 5 +++++ assets/Slice 11.svg | 5 +++++ assets/Slice 12.svg | 5 +++++ assets/Slice 13.svg | 5 +++++ assets/Slice 14.svg | 5 +++++ assets/Slice 15.svg | 5 +++++ assets/Slice 16.svg | 5 +++++ assets/Slice 17.svg | 5 +++++ assets/Slice 18.svg | 5 +++++ assets/Slice 19.svg | 6 ++++++ assets/Slice 2.svg | 5 +++++ assets/Slice 20.svg | 5 +++++ assets/Slice 21.svg | 5 +++++ assets/Slice 22.svg | 5 +++++ assets/Slice 23.svg | 5 +++++ assets/Slice 24.svg | 5 +++++ assets/Slice 25.svg | 5 +++++ assets/Slice 26.svg | 5 +++++ assets/Slice 3.svg | 21 +++++++++++++++++++++ assets/Slice 4.svg | 5 +++++ assets/Slice 5.svg | 5 +++++ assets/Slice 6.svg | 5 +++++ assets/Slice 7.svg | 5 +++++ assets/Slice 8.svg | 5 +++++ assets/Slice 9.svg | 5 +++++ assets/original glyphs.jpg | Bin 0 -> 60425 bytes 27 files changed, 147 insertions(+) create mode 100644 assets/Slice 1.svg create mode 100644 assets/Slice 10.svg create mode 100644 assets/Slice 11.svg create mode 100644 assets/Slice 12.svg create mode 100644 assets/Slice 13.svg create mode 100644 assets/Slice 14.svg create mode 100644 assets/Slice 15.svg create mode 100644 assets/Slice 16.svg create mode 100644 assets/Slice 17.svg create mode 100644 assets/Slice 18.svg create mode 100644 assets/Slice 19.svg create mode 100644 assets/Slice 2.svg create mode 100644 assets/Slice 20.svg create mode 100644 assets/Slice 21.svg create mode 100644 assets/Slice 22.svg create mode 100644 assets/Slice 23.svg create mode 100644 assets/Slice 24.svg create mode 100644 assets/Slice 25.svg create mode 100644 assets/Slice 26.svg create mode 100644 assets/Slice 3.svg create mode 100644 assets/Slice 4.svg create mode 100644 assets/Slice 5.svg create mode 100644 assets/Slice 6.svg create mode 100644 assets/Slice 7.svg create mode 100644 assets/Slice 8.svg create mode 100644 assets/Slice 9.svg create mode 100644 assets/original glyphs.jpg diff --git a/assets/Slice 1.svg b/assets/Slice 1.svg new file mode 100644 index 0000000..a2c18be --- /dev/null +++ b/assets/Slice 1.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 10.svg b/assets/Slice 10.svg new file mode 100644 index 0000000..0d3cdd5 --- /dev/null +++ b/assets/Slice 10.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 11.svg b/assets/Slice 11.svg new file mode 100644 index 0000000..676308a --- /dev/null +++ b/assets/Slice 11.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 12.svg b/assets/Slice 12.svg new file mode 100644 index 0000000..9352ec3 --- /dev/null +++ b/assets/Slice 12.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 13.svg b/assets/Slice 13.svg new file mode 100644 index 0000000..27f348e --- /dev/null +++ b/assets/Slice 13.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 14.svg b/assets/Slice 14.svg new file mode 100644 index 0000000..22efb93 --- /dev/null +++ b/assets/Slice 14.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 15.svg b/assets/Slice 15.svg new file mode 100644 index 0000000..31020c4 --- /dev/null +++ b/assets/Slice 15.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 16.svg b/assets/Slice 16.svg new file mode 100644 index 0000000..f946e96 --- /dev/null +++ b/assets/Slice 16.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 17.svg b/assets/Slice 17.svg new file mode 100644 index 0000000..9c345b4 --- /dev/null +++ b/assets/Slice 17.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 18.svg b/assets/Slice 18.svg new file mode 100644 index 0000000..c5f7761 --- /dev/null +++ b/assets/Slice 18.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 19.svg b/assets/Slice 19.svg new file mode 100644 index 0000000..bc9c3c2 --- /dev/null +++ b/assets/Slice 19.svg @@ -0,0 +1,6 @@ + + + + + + diff --git a/assets/Slice 2.svg b/assets/Slice 2.svg new file mode 100644 index 0000000..6d43a52 --- /dev/null +++ b/assets/Slice 2.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 20.svg b/assets/Slice 20.svg new file mode 100644 index 0000000..cdd465b --- /dev/null +++ b/assets/Slice 20.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 21.svg b/assets/Slice 21.svg new file mode 100644 index 0000000..87887e6 --- /dev/null +++ b/assets/Slice 21.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 22.svg b/assets/Slice 22.svg new file mode 100644 index 0000000..4135703 --- /dev/null +++ b/assets/Slice 22.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 23.svg b/assets/Slice 23.svg new file mode 100644 index 0000000..665ff3d --- /dev/null +++ b/assets/Slice 23.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 24.svg b/assets/Slice 24.svg new file mode 100644 index 0000000..e21904c --- /dev/null +++ b/assets/Slice 24.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 25.svg b/assets/Slice 25.svg new file mode 100644 index 0000000..fbd96c1 --- /dev/null +++ b/assets/Slice 25.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 26.svg b/assets/Slice 26.svg new file mode 100644 index 0000000..1e6da2a --- /dev/null +++ b/assets/Slice 26.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 3.svg b/assets/Slice 3.svg new file mode 100644 index 0000000..5392d1e --- /dev/null +++ b/assets/Slice 3.svg @@ -0,0 +1,21 @@ + + + + + + + diff --git a/assets/Slice 4.svg b/assets/Slice 4.svg new file mode 100644 index 0000000..5e56b6f --- /dev/null +++ b/assets/Slice 4.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 5.svg b/assets/Slice 5.svg new file mode 100644 index 0000000..2ed0292 --- /dev/null +++ b/assets/Slice 5.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 6.svg b/assets/Slice 6.svg new file mode 100644 index 0000000..7472701 --- /dev/null +++ b/assets/Slice 6.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 7.svg b/assets/Slice 7.svg new file mode 100644 index 0000000..ac26e8d --- /dev/null +++ b/assets/Slice 7.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 8.svg b/assets/Slice 8.svg new file mode 100644 index 0000000..94a8b50 --- /dev/null +++ b/assets/Slice 8.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/Slice 9.svg b/assets/Slice 9.svg new file mode 100644 index 0000000..88c10aa --- /dev/null +++ b/assets/Slice 9.svg @@ -0,0 +1,5 @@ + + + + + diff --git a/assets/original glyphs.jpg b/assets/original glyphs.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1570886c4e7afc34c0202420e759c4ddd18541b3 GIT binary patch literal 60425 zcmdRWXH-*PyXQ$rC;{n3dXW+!fb=H4_t2Y2M?jDwy%~_+O8^0p(0fO^^cqBwB27dD zqzgzB00;yEG;tr` z?<$}I;6tGJPzXLg6rX?qpAbe4gAozIs7c94$mytW-=?FcrDb5|Vr5{w!$eEVhG4tH z&BM#bOV28BR{(yO3(gDwrxOqX0RfBD#%1 z{le71{!b$1+Zo57@So@CCsNt}Rl|=KP-M_Q^@IU^u^B9@vEEX))Ti8V8Xqsv-Q2rd}F2ZI1W6G9n9i~z{n zAuuoiV~eiJ(*{7i)F476Oxws*jlvFOsc1q2xTQ+%XL)QdhL3ZNv%^8~C|)p0y2&dz zkOL-Zp5jID5tB~}Am|kx(RIE^LRb_Eibeq_01h+(AOvnkMIs>(1O`wtAtzGC5}II; zU`nhZIf$IvEl%yzux`#J#pzJx<14n% zcNS+}P_*^4r&a%#tzPY|`svSdSBiI~nS#;e)BrGikC?kbB97Ag_uA7IGBxK3Xs#mVVA}NeLJVxuu2dV+qyuT8wSd7e(Nmly z?7rh1I(Q7UrkyqAZ~%ZbS?Z)B#~O?*oSkO@HV$6zgV6g_y1%}i8SQoMq+Yr-Z!gPS zwi9qyEx(_t3751Aeb-8YC4><+fvAVi7I$qF14D`RJmy~KQt=qS3I7Z5EPQ^)-qmZ+ zB~7bpf@m9u`}p6)mdg-*`!j8;Q`6bq8sY2xL@OH&7{-SA&s=#KZyznq2|QxF!)(~Z zdB)N+_$#Xu1CWo%^mBM1I)lrJ06a!6oh=z-XXlhe&?7SXBe6BlccrD*o`;3bS#AEd z_UEHm6M;OF;l{n%g$MFV2+qxu@xFK4*NR-ZYygn$LFpZSd#_ryKeSG-$Uow3BmH*! z@rxACt`G%fU-3VKA{J_tZ{i`HiA*6$kKG;Z4+N$@llf0KNU(qX(|7K@m*%th@)u*( z^rWVCf?Z2;$hS!g5$1@@^?akAqol1c&z_pAVD$)*wfZUz$d1O@J z>{bzA&Rs8g|LXT?WBb?s}~hy$`qMD^TCZ;>1Zf7(~E~n zU*#k!>yy3;zE2b13K&Ppv-*_(P+GIhh?fxv?eLF0xcFh*WzcB&SWeON(*t?sH)UGt zEKm0rXwElZy-Mj(F*^G8;yl(eGoAfjV9Tp|Yu?9g=Y21^#bopzcKHo`OYS^VW*?jy zwXzLzyR~X@(a6JM?!TwEWO%#8S~Zc1o!SzlnggCoDq#5j2k(g=!Rpd}N;$(5zrE>k zOK_QplC~C_a|(}HqeEP&?fUUSiR{hOrW5fUr8pN4+n!9O5OY6wDqmV?e3PYO2|ulp zVhMtlI98?d&rZrG`C7N-&* zPUWh1A4?OdS`g~Yo-PKXvD8HNsX>`eC*A^P&qixX?Seeei@ zX?2Gg%~v^h`qM2LA%a)mrMldyIGY7x$RX;Qvlv3vT!QQ?f4TOs`_+ghOMxm?w@hL} zkX5*&fFd5gz$biaE-ho3W*I(GPtKT|FT!R10`{C((^9SxZ8uG>bP9D8L|@(@C5(Ws zDknt$K~FQiL-Nv$a&z`$v6d1(OI01>REx!_jR1~bgI7)1F-tH98WR&=hC@<^FxEhB ziLGXUPzT1RTkh?1)A=Ge!wt@Md!rDyjEgHoFH8YdqjR}c0o?>@O6O!G6lwrH4oVYU z3<#eMphRUm@mc8>Z}rLl1-^-2zB!9Xx75BvO`n(qpuljp_X2mP5HOhX5`^P^j!~{= zDNXt~FBJ}vL?XFx>?XRE%V-Kw1w^;NX>kGtVF?IVH0XL6Qrsf1^6c<1_%=QmA!a!PTrtC}rMMVIC z!HER`u>i4=Gl^+B2os}f((FJ(Y?NbGApwH{ltVfsbr?X=83AMtkW?VifDWXo-ANIY zg2tc{X^rj+OH(EZi*#<$k^@}MK%E(?$sj9=;0%|xO2Q@TOklSj!?4xf6AZpW}16e zfIuncIX*5~v1)W%zWl(GcO3R3N#f$FFCJ^s1dWwbkS}fQc=z}z^r7kDuST{WNxASQ zPOJ$4!9W$kQ_Az~IM##4QQgX0W+>b*J^8Y1Ro(X9!j5T=82(>?e$$cNsG5VCTJ>kd zu|&Ac_@|$;0mlXBRuvtj6{|9Na>&G9HN%K#&G%2$XZdp@n^N)Db z+A?0rTp53E6ItIL(DrLORwTzX^VF>IQXbFSVjoC>8aXE0ILel)%51)w~K0XY9 z$>NVS`ROmXdBza9QtP(g{n4t{J~eE%HF}GJpK$WtXgI^1T&peXqiNFqx4&e(ow35N(#c64^H)o-^sI#DpQX8% znub0&8)kX58hn)LtXqyQ;+Y$LT(ue!=xsS&-9A<+_v6vR<@k%eW9h@IyKmrZ7FfGe zv(3$4DY-5+R~>Y@vVVad{iC@Y-Ds?85)D*6hQg4BmcbUTN3(s7QinOU}!9jbXj* zV#U4V!Ba_TbPE>;HNP^9jZG|3_^Pi?&!9c1i=>f2D$fC=l>w9#+rhMQnWY-DR*_2ZT0()Uxp{ps0YFKQt?@(`?^*VMhI21u< zZU=~fQbw@s?*s4OHeZh*>mCKB;V5mXYyH0fv)H=QHGxr~M)P?@tdz8G)CdxkX1lCn zeW<(VQ_3{chqu0ALr|PIYe|8C8*`qOf=0!33%>03I8+ zv=Z`6-hBSI_~5a)`eG|5mZKaDfMT3$0$2@gpZX7;tF~)bnN;B*kn+tVNfvd(yjW8$ zCnain)!C135Ne$8-qm?>_Bq(VCe{@M2dK#jX`spO^EJ@q6?7>Q(nQ{zY>lXe6A6kn z({XZXBTAW?a2N;Rv=^$}KqVhK*NR#g}v8 zAay(~_v_qHc%fuasQf?DCgnu79WX=W4ChETgHkbWy)!K;Iw#K=orVkSdMoiHGRQ{LdE_FP`dR_y+B=QClrpOwCO9<_!1` zgeNQ&!CW~&{2XVA1t?Pl5Gyo)G~%{4{6*f;!B%#T<%~>VH?2C3E$4YM3Lu~p#P9mN z9KrCqvEa0?Rlnc<(OL{OUH_|r-q&Cid^kBt1zH^rb4YrW#3OX3~ z3s>;0?EEJ4a)pOIT>!=B^HU+XK|J(D80(XV<}E#54N_+<0y&feQCf56N;6?SA$i;EaZIg5Xu)dcvCVhQqLp#(+N!D`T(D z5*I}m~p|Xy)en)u3Fph0=#Kv?vceF!fKL?j8wH8O6L@} zh}D`Sv5U3F?4!~-OW#N%E62fxtPcnJc%BgY8*Ru$V6@3;vizG0zDTk|C*&4;^va`gGQ(2MV3 z@(P~J`H;GJ0kJIhG*&V307i{5md1C#ZSChh1VWo8O861JkS50`^=jvyLIPfkpThdx zwVl}ZLK|<@Zr z>@;eTa6ep_W68G)TZkAJ>u=x27?bo z9sFL;aJFeeBWWpnV?wTW!imgAis`)_BiX3d1C6kIt=VBDW-7Jgy{i zzJV7C>YObLxMg`qRT6$ z6bbA{z|M=zZI;xP*}zrgNj@cbpMU*vUtB8+KVyl?aK?2HkoGhWT>VKe6F_sxc=haO z=W$sY=_H`}oCP2dSnxN}vp3e?Lv2O(lk3?)6spc3;#3vWUqv1JbD4t-cQ@#SK6>(-AJU$#W^T87kFlt;Y zFy$8$dT1-Rzi|F6a`*ZZPMm8Lg6Ie7OV~j3INbJffZxvrvso+Y`DA9 z8g1sV5vfaSwr}djVuK4YL zeaIv`r(`uh_4QwXHo|w!za^}3k$Z8Du}G(&GAm7#9}0kRfRhfQrAct*H9}B+arP;X zcN$BNDMngQxKV4Ng(r3SGGo-y6!wbj4jHOuW{S`z%3Z_wL=?LK)4iod^O_C~OIsfK zykDsXfgdl&q_^<+aT1hpJ8^uRMea5q!e6r0E2}A2}@DcJ7gGH=qGe>gp7~PTDTsgvR056RZ3TGA7 zR#K%giZ@lVL#SywjSa$~iY*ID5Na)Zk64TD#9tTv*88<@e}@Jp?}qE=|JbQ^RN*!n zv?H`2a@eeG&m9YHbij6o2&s#I7;4weOt2&NX`gIp7pqs1IPXB(^ky09^$JgG+2QoD zCe%NOLakH|$#E*?)yiykCUWG%Nj7*&u&4n*q>4y8l3#hqBynsMtwxE0lq(@YN?fG( zexIKH6ny7mZnO5L_I~KG^j=zl$v2_ryWs{I7u)pK?9S+1${dbS9Vi%<4X2DRM>iuH ziz<%8YJP+_W?m3s2?1U$yUt~3dJ_`Fv4`Yx26>orS){{xAxLdwM!pJF3kZNOVS(F` zAVjhojM@a`qzzJpn3Uj=Y^1bQy9)?AVc{rn%I$~oolDg)(@}I5X1r@*r}?~yXT=Ia z`zAqT#1Sq3l;)tfFjS%R-8F22(mBBc13>N@QRB8cI8u-+(kN2N46B5Of-RIYQAi|_ zi!1GvgQXG(WMTr%aRN_aCXs&c}fI^W%83z}Dp^_D@c z6r>~{umE@gv^Jm(XgL{;SO7FS7FgkTjOoOJD4g*K*Un`o5myI~HJ&juLvd7yA>_Uc*db-3hE zh^^Muy05v-XSqd`!dI#(0%o{H)K0oxK6BN$8CA;Ex z)72U*b?EHv;xuN?Eo>=Oym)C_C@H-!C~-dqMNA$OiBrwnaE5_I_EhaWB{i2y;J{_B%hEewzJk2G&lC>=2_!G*xt=xY5foR^#|8g zyRUW(+R9Gr@3irc3* zQ5HU~Hm}O-FCSQk?3Ud?i=Lmq-}0AOn!o%LKgU?0IJqADXWi~Y_e%HphRxd5+_~h= zlO?~tXDjBYRT+atMxo&!A+Fb}qT4(jzc;Rd1)D&}*%!+m>q0CYvwuR@eeLUlq)1C= zLcuBogY;+?HOIz75Rlw&2BLpPh0aat&rA$eoOYJ{_KEGgS43R0{DMXOct<5+BQ z@*80c0G)+zo<$UtcBuYI_`P#Ya@cTN1M!{dA!+}Q>+9(sr5!mbw$@YQWVc@XMO;?n zvZ-=$o(ln|RSGH*!8e3YB7AP>ujW6>^Q;8neZ721HB;$U_j*O{FAz9?IhwU?WBdKe z+3Ut}vq>)E^Y7Jbu#BEwyT5ou+zjJiK&gOu<>&e%9*vS8Z{s@733k^00#`pj-K=YU z`cS$xOywDzR;u;#c;lQovb^I@%sPI@QOKu>AihfGvqrN~D#az1$H!d;4eZwbpAff`!q?pZ0qC)fkKe_DN$TkCPYWPCNQiN$tOcH{{6( zY<~_2@8@QXFmhG!AKX4zcdu`(OS!`QcpH=E+}tmB!#%iIB=|wjcE{^|2;my@50!Pn z(&0Uxz`BmUOvy^EO6f;c>-jofjLWjg|9SZC!w>48S6w2> zqFq9)maD4!zgV=?aF%M`SQDpQK^CD#*yiy5$heZ%5#57>nREHAgB~KSvvAi@_mj6) z1_k?-8cX3OSHZ#cMe+)!GI=Yd#2F7KFNdqHo1gu&Z`Q;aij!vtck;opBpFNv+ur(?MQ!m&|1L+XgyI!ScO7 z>VubGj()j5a|pXJ2=ce7jJwdVT|4*-Sb6{GbL>y6<)AfG^1+Z(Vu2j8w4>3WuG2{z zv;iRJ_Xx^O+D$YFJb(l#BPKGjFmN&oh4T(N-`*z5k*KPA#-3>bpdXq-tLAySW)`yVZG@6wk!?u@yD(_5$ zJQY1~lu;yxx1^JkQxn+@OVyRDp}}ATWg;|JUE0CYNw*nCnd$TGgmj!o$t9a|Fx3c+ z!NVC7;20cp$}m+^G)$+p;EJ-~%0ZOVE-Q~Ai4<9JPGSk1jQ|S5d5ocu|MVk+z<3ZS zfKNfmewT`xO;}8cTvS|8tM|+1;nM=YO#f@_!6XIOUASn1R5VZjtqKW1wG$XHL zw@_}1vHyRO)%joDS>XRNDGDd9i!x+C8FD|<6OgR81!QFce}RFX2@THOqJGkir1Qdx z`~9Eqm>XY42WSZ7Ci;+ZYPdy2c$Lo=#AcGLl^w}{OV`7CEeSBx-799|nOZrU?Ykj6 z+_&dGkhDmu;Gljq!YZ#<;$u{>_ZPrp*1upI90K!N5YVwH`8qLnInLQkqY)`~S*okpCY(3esJ&`(xbw+~xF8o|MF_dhcF&5- zCBdY-p;Exen=%Fa{Z_48zl;b`8BTs78xO@;>5s>-6fCFim z`FvgE?XvEjfbC2*r5Aw>KGQ_T`TD`d#y@|_;Jz+5JW6L!!DX(&GgF5bBlieAhhx0) zN*O@cC~4y~zPsi3CXk^N#R40mw-QTTNY+%*d}Xks8{?kMkc#h{;qGWQrj}^~mhW5} zHXZ*w<{>`ed{LpCdgtvIm^p@HewLON-k6b~Q69C_#5>|rUD4|*PQIKYEt;7Ua+Xl1 zlK5JShw2Vr7YGT*LINSJ96eryoz20B-znC^qOyy2_qmV?*LS}%;bjxfmnC}4xh@Br zi|$seeUTPVyXceFH0m`!ALKtD@{_R3RU6ID3*%(;60lzo)dIE$8napd3@`12hbE#v^qc_{b%1^X@~H zbBPPgcGmE6ZZOQi{8KNc*9H~bpnkJLjdWiqF&16p1+h-{E@Pzmlw(YZ6I86&v zq@BV(<<7{}ipMOtUhuTQNJ4-9y5MPEw@e1BlmcY!(a9yhNEWTh-0MHArgUiiVYNNfS8t~q6+ zg{WTs{r!0$IScvv7UOU{M6i&#t~L^X^_RLdVm zhacHewq;#t{jK)6!34iAnawIEkHab2uI3wFE-FacB%z%COh1m#qBGvZ=esTo$L{Nk zxU^22rVt@MF2nVb9hd~LR|ck^1Q;k&>Z(7X6x!jCZB+qI%0CD(ZRMPbn3Og2Xp{PabPw6U7lZ@jT%_dC%rHu1oAZ+i%Rhv|Z<6q2sT=6+Tp zV0tqko>Lj@{TG1SjZi#A#N&s#hYK*5JsV5S^k$&^qKvKBu9!2$R+r)Dz>PIHeazkX zij4sByWlMv9hD>eN*Z}WuDAF?3T|r=g_%Mm!W>Ra2hWAcA&#FQH5z4U$@$@5M>LNt zm5@zGBs8fje{}+QeotcD4Ql+A~*(RK4ZSFL`9Z zrDL{Nv{-klrj`RK+hr{sCEP0|ra(NkzsZzyo|hhDcuRj=w-U^uOt^-*7nf1W_+qz3 z={11=ELO3!&#{&h{}J1Uda4!}@=2_o)-!+9L0y_Tc_P#t@KKggfNEKr@+K| z6Np85F{$;6Fbgw1R(Uhb2{-obs*Xo;I3?a{y;Hl%`Y+{aR2kXj= zX3ezbsBWwEIi0Z+It=@mR=A;BBkFyf?A&Wtyb)>WmF^S`15gDq0jPW~Fl;jgUeL*;6c--$IlwGw?iKEJ0+8|UMTR$vX)`_Qtd-1BBEd&NiS z?Wofm7+n$5v#9pRd8RpjlTiX%?iyEy)#yWR`xEF(^a{%N7dIbN+x}$aw!WR%Qn*aR z$BY_>tyGKUA|t8Kgp&^UY+pB>IhsOBO3MvHDsRv3M(xRZv{`qVC-g+OL==trf`)Gm zRAy7`Z%*}-r_Aq7GM4ONzbB21;uwT%q@l$o$B^8|!H={=tx-Nd+HM{RqVc2M_lr_i zGVu)@yrpbMU32IJH>h-mBWz{T~C(aqeXmy>)MRu`!XB zV_jQ>PyzwpEv*WdptV{2&&-y6N5?YB*#=7eB(|k- zJP?Y2uHAeh!!xzy-HPeSMe`&HU#mke-GaW6X~EFUk9(D!}Yja_N)T}G+m zxbu}Z2~T9z*OF=aj>>?ql=tclKQq`)#ISx3-(R@!VFtBw9<7tLJWvwzg5^G6O1#CQ zS@q?eVBYP1??JQLjG+e$w(LB>hx>tfrkjrO6Bs-dO0RhQ?wApELuZek-c`#qBy07s zgOrF9FP@@MpRYlnK8w`1oL8V&LEMBUzSPw>dfn;lH0M+xQLEMMx-2n?|EltU-miG$ z)667TwY9nHBtPwprt{le;O}Cy#@d8k$dgyZpVhHc#Bz8hzf%vziV^h`)m$;O&Gp&o5s7wD0nZi2Ee-T% zzOiQo&1OvhW-fxE)}oEx(P~CNRwld+ui$;ZvUZO*Jz14uVM z9_s;oxY@A*QbuwtY$lpC0=DkTl0h?)v=`Y@XWs_rw=bg5tRp9MrtsF2f2Q+9Rd zu$$a$>1lg!Ivgbl(I?>XBxr<6s=etAKt-qx zKM9Zcb4k@O_wBn>Dz$E>PL(*vpk#H!;3h>x_l_{>l~W13gafGLBv#hdwUr{`!r%Vg z(Cpn+$ZaNi0wE_TMi(Rf-UN?vxqe&eg}(PZi}4u5w#+cwA_knL(%b*QwldYS`o(;b zsFXA5h}EkkS0^U7Cnq*Y&6JPao%Dk+yZP>9fZc7Dmszy}>0Ie=Ga3y6FrXt{1x~99 zvD{W;ENbHV88!@7JGM_^9YuKibsN|BpyUivZ^q$eGRR**9%k$IEAX8HlS@>ukMB4lFFF-yWGvdSK z$xI+1d**v8ra0B+=L^!dd2}{reO!BX`GuA9i81GolSg}Jp4$?;QJM^Fk^}SJVYfCj z*U30WIV59@T^B8N6B8fbuUo)Qy#93(j||W1D{c9-^6oj6SV`F(O-g&uy2_b_FMHCi z3+AMFMIEqr(Ng2_zL2k(G>L3nAP_9er$;TUu(QWN8iuXs?h;F<8o? zihFvQnPADQJ2vXN`^29y*(i~MdV(m|5_wbQr{Qv7Z?rjAOIed3zN%j>riw@<)bK{bjr64qy! zO$D{;$mD$grYcGAk_6t}yJht_YSQoJlAn59R<>ro0nO_XCvC4xfg-n<(HEL{R~g!B z{rn@(9z2HEW@tR7a&z+aEWdfFLr>5?E>=AJ+Z%}=78d(~?DTMn=(e_QMzgWr$CCH& ztHrrin18*SJk+6~6-+LW*sByGeI5--$U@@Zu=izq=Zp7Y*!v}G)qk29QBN0$wZ$qq z)vbQU|FuukSF9zd=I1G?d5;*VuoS7e}*h#>s&ik7QW4>jU-3_6AFD>L06V8+v|_px!TpWT|#b z&KC^0MjNY4^s+0)bVrM{A=FyZ4M20qDQ%a#-*yoz=ik`P;>X9~Kc5;%b$Xm7%jRLb zJid>?Ef;CX7)osz_4Y0eN{iB{X`-I?Ns@(KDC*TwD(;&d9#IFkr}I~pYRg&W{7i2- z@MLg{-{!rWTi)vf_xjs*YFEA$mS&12*d3-(e(7sALFWr- z`gY}5Nw7=oZA=h*yM6(P%)vM3p-7L9#eqrlpdpG%Q6kv^KXshOnyU5?c{o^VeIR>8 z$=FjBsJK!5q_)jdvT|84#VKI-K~NrkZT670k?qqS7UN;R@N}}5$$&eDv%$c+?ujj{ zW3+0%@9oI4U|8ETCn`1Bv3j2Jr9WuDf5@`>R%kZ#g_Ni||J4SlFV&9Snfr}TTB7A- zx)S&HP6-Ra^~1GqM~JC=4?j!F>aIHU-bvYbnfCB42>(4i?>ru=rInGN0Ed;!EHR0; zybX91h~uo#%sq&2UK;-h#=2^)=llV!9^>VMUAOB{7c-Avbbs!=30`p?Nb$(GVA#7+ z5~uWK5wY8i;^*!X_%~U@&S|`lu7lp`9<4^6o##^wR~jX%DQCYX7nx@<+Rxj{qE_m8 zjOY7YPS_; znGy38QgQZL3;#JY*FNHxzuTQ;ll)zSP2Qc$Ut*1;C*%wABqPQ(H{1|YtFsp%TRsnC zVQ*O3wEQJFkf$?J4D;6G-~^R)b9omy5q``sJu=l3eO4=SRF2TE5bBf z?lCDc#ffCH>Wk`EzftEmRX(@9Q4<(IqZ-b|IDB6&E%fXIgJ?^}YPnLkMc^0}!nb@z53ck6a@W?_l`~(LvEtUVoUXUf zlIJA&$TD&msa0m`Xp7!Fl+ybLanpxA5-Ary@=(N>lt})uyD?NM zqdMy`k=|SJr%@ZWIU0-=!AU@|TIR!?duI<*s#`l4haxN@1j20x!=bht;lRP0knr@g zVWUNqr*71t4iyI(Wv|<2x<|sBHe9g1_S*gf+b^@T#IH<~w$`X#+va^GH1AkfXM84H z*`nD-IF7ksc1ts8kq^f&Y(BHXm>W92N(fqBdDM;$aU(E9XfNW{qf+GA6=S7YQ%`(g^2Q~M#rC1oGi!F;+)p1G&eN#~uB+HAmHnz!^$D^49AF;HC z$jvPgV~vyd(12IvzZcx()I7{2%W~Baq(l2q-Bus%MhSjk(KqM!`y?2w(Lzyar^)kc z?(wzRgo2O8wkHkAzykyb#k1*SLXz?|@51l*YYNP7S-!9ZN!|u-yS)Tzv}KmqO1kJ` z-wQYq=fz59s)M8Udr5fq5MQ=M<>AMSL9u%KMzedEnkvEfV6W*an|5jEEIpb*$GQz6 zp;Mo?*(M2)-pNtTCC6@p`kZ7R8g32F58LM35_>}j%IY*-t_z5fhWAlQLj=qe+x#T8 z9WJd&4BVPE}Rx%-%N2_J!TOLsh@_Z=~!!xk0m``Qt&M@O+sy0ld-k?Niq?ji8 zP;i^O#V>{npU_Sw=6c^}0aXSN=o2v5R-l3+HpV5YZlwvAnTKpPQDC7Q( zF}jjSnJp1n52c>^IT7>co5i>m{yW7tB{`-(r1oXSks>9A=^@rA1yKfMk8*=?#c7LT zqFmcc3_k`fvxi4pgYg>MvMH=dWdYHT!~Ly)*^{hs`k+Z|(S52l?XJZOA&OwCl{CJA z`?lG-bz%Cq5A#PG_$B@Vksk5flwbJVXcT_l4exzQ+UtILa#&KPSr!oahK2drT3xX* zFEhqxldgVX8)Fc4bB*@Eb|l8i8S`PLK|-xcbopP^7{rnX2GQHetshkv%3Vs zMX{!?oVjWjg(0Px>qTwb226N<_~9RB#mBxc-4hVhwV%J+M^f{Lc+dMaL1IBj%&tl< zYp9VUUJs|e4HL7$qre&+F)G zO!0l1fJW9H=mLdsde9N)XA_y`wLjn8i*_yj?#s{!6g*eo;Y?(#P2!rfGSE%9;P5@Vv#>nSCovI%_`OU zo?g{oCSmv$AD;zy%!*c`;_;+8V_H}5ZMu)R&s}>+-gkd_aa(gB02%Wr)}24Fia

bvMn=LF+S?!qSB)0Qm=?_yx&zpItm6x$}-qT3KOx|pf@Ll((C5|7s zwva(9Z)4y2U$}?sPlnU>elcM)hO(?Z4>!x@xzwp>dznYIue!aWQQI0(;Jz@@#y=}+ ze8ES@?`mC$vr|4`T5LICc%|>dGDTf(9jsh0;`aQ5o)vg5c;$ z;bwO!n{+RS&6*gp&)P0%~ z+KhcLQ{&IT@sV%xZrt&IO1zAz;Ju#sVPwXmlDaqR&v|{^37Xs_24|_k97n z>Mt(h@gpalM)y9u4D8jP@XUSg7mwdewFPYy7HZ(dyNoJLDkcs4Ni^29(3$(kT|RL6 zE*3?uuX1&ZO@E_*uXV<QxN>Tl7N)z@r$0q zy@g!QNPg@0%g4xLcRB6J;DE@!wJhVjo(g@a*8QxJR*#rPRfSJbs}#o!2N%(LBIzXko{YXvVbh>@e5ZUDHt_L5lm7ibi#t zC4-t)Lfl@4(R#tM!&%Mfc@1Q0e%3vbWMfLTskOkviP^G?#5EG7tafTuOphQp5OiDh4Uu{TI5 z-H{iGC9OFXbfjyr5fN=mmO_(3BOSFu0$y3)6r#c|>6JQ~htFKz&qBS?$ubF5S(Tcw z)WXiHcz-ifv4QB)TZ67|TH^w2UQ*c<#Z*6RtHML9ZI`@OryzuzKW`-3_zQ5XL1S3s zWx?5Wr0?)(Z_V0H1}*FDQ$y%YYjpSdoil{#!q$Tx|B*JsQmmqP8gU$X~B2 z^wexpoG5_*$)w+GzYwXqL5lUm4Q{EjR%Q$EGXvDMCojO1F-Sz?roS|654U%&^9XU4^; zxYsycwei%Z1_r2I^bAy;mSqH$rbdk62{0(>CwxHMBaxatzFZS^CtUA3C7+kZ#r3kH z%!(rg-+t9W8|b{Hj1;F4$sJVYE+=_nJ*&jIJHj4aK7h||U_q?m@bNr}ni8`G%H=cV zL^sB@Q|NUQ6%1^#4{uC+X~wWe{FG<+e{y=19dM+2a_pLgw6#*X#nF^a}Nl>~e?b`Ne-8G6I#aQt!dlv}=bsPT>6pEi#19HI1lFhr=lpy07ms z6K3oWjZV!mprs@0IEV^MpdKn?QenGqZM)d#GvvRheUcPSprN^E{Ce;1bryC;Ueix7xyh**iECx-XJ#>sYzdoxTVV3Uo7$1f*?$q5zP2}>d-Od}l4x4-RY zP%jH~a@Tf<_b|6Kmv_;HuIP+&RP`{oM(dZhO1k797U;pbwYu(NSf4+21EUm3jcz!# zU}pXE5yiDN$HY+$Zx)t<@zqMc5(5mHa0LNev z#^&V;NpldOuUezx8}5U)N}ND`kGLsXDCO{gI7Vd042q^`0&3SKE4`L2+gBXW!}K&+CO|x{Q7o2~w8} zwf_ZN&l}zLY@XsKP=*`;<3e!op%Gu%;iO`#|e`~T;!+FxMi=;>!I`}yBt z8xgU5UVk9LKFr>lX1NqzKgs?ZV{aW5NAPuvl7!&y?t{BK!DVoF!l1$3CAdS-VS>98 zEVwiH;E><}g1bB9@w@Nc?|tiA>#lYG>N%^Xt4{aysjA**pFLeyMObfvYbxWGgi|#M|u?V#QJU5r@P|uP0AXk zc!j$u=_59urACZeJe)S}Ak@4ubx_Ee7B({7F?nsTWzw0Yx=ml9WP@q(x<**-r6-ew zMfaO|UB-`cbfz101SmVFHFht9-M!~wQ@fOw%W-O6f^pygRYnDQ)zx) zFL@(%?~-A)S*FN}?}-1=^(QMU6yWVFW|CJ9ZzIP1SdUw^MXKOp$Sz0Jc`7>;y!|}& zoCNy^H}ZCj`}+?LP+S}T{6{Oi$@*%*bZEEh$Iq66)_iCChd2(Y`D=k!(r3~iSXb=v zLnlzP@@=Pf_p-%2x1q<3dUBE<5(RT{6Nz0aiWqD=L2joEYE1eAn)j$|zBnvwnbf=A zDs`MuLD|G=ZNQc}!c$OUsr42()AP`7NtMwta1>^?mvo8U&KS z@co`drtI+t9r_PWZ-H*8-6YO(6m-Y7f5L{o7da+Xwv~E|w^<6i4R&?6S@)T1d4?aF(0^)eNIf_uQ9u5eWj3ZXc}{!QRbfpuj)n8OE|j0f?jio`hUD0I(LSK%7M!Kvpl0R5O?=XW&-!0MZ$udejAv6EJ{W0LDXb0B{ z54)F$>kGealTLTM?$oK_75TNr52@REmkU&BA;1evsW6yO0_~ZogLDc%i*wE(kf(xN z{mPDBAp144N4?JM;X{nT^!G2IM>el z#X8->g%>A=5-W_E%syztH~%a`d`aDzo*|>nKzj8<10qk$=Ifn`Ye>YAS2iGffstdA zS5~oD)8;y`>RM&r$nGzl(}R5I!W8`RWTH$9)tV9$8T24ixp1Wr5aY#sR`Wya{Egw^ zq#_91&@|pxe?iVDEBa^c48S zaCkbDe;?(=ZnX=;gZN&$jDq)eN0!o3d{<6do%8b3GMX8XZKF6q+S?hj(agFq9l#HI ztw2O21EBRSzratNo?)8fKA=#8PAAZgzjdCswauqe1asitsY{`6cVxv>nf38oFsU{j z(}1eZ_I*6BMJjIP+Q?X5THh9TvS-;cB&=j`Di2iVI=q^0k`^8JZId=w)-s+aKzjQX z1>X$!RZ)tCmDTHxkb#!$k@PzWMwiuncokoN02xQJS{ud+yO2CAN~-Z~0tl|va^{H} zk!9SK#A@G{z}|eccCvc_FCFLlo4~pTCx^A9w8~0ii_4B2c`>#9E3tj!XMX!xDz0 zyI_qyztsjL?<7{OWy%&^{kXKtc4+x-4SpUwt!#F~bjYJH(d2#0+ZI8ia;AftKdiOS z8om{r#wfa#CE%9kp%0GbncopokEwA_cc1Q@42>!#7V8|ddiSyn@>{myJte!(4rWii zEhw84Ji%)8%sdlMFPauXFa=Acz-H!X;7Ufd>nM@-!uTNM(Jm)qbx?@TX}>IL!>zpb zn3bix?dn*Y`PNGHiOB#<&D5wsT1d2kGP+yLUJ7!G_6mQ|>O@^=sv1K9N z8!cOn*J_sb|8p7S{J`;6sYN?X>na};fSqa_to?+~an=kFUm+jGe2e{pL5|R?K|D|d zu+iJ}_5ttjK_yP*BTwz|1Np>VzO6g67sDS*6WJX$3RTMj;3V^n7>orh4tU z%?=j_P^<0$CrK85Hj!XJ%{z{35=rQ+?PX5WvXAub!m%4@|GyGdL(_cMz-r>Q|&)H zD9xx&D{jk3X_vrY7Hj@oUK`K5m2#~szo|I5W~-DS@5|v1PhL7UQzby(^-zEMrfgH% z$i{LyC^-2C9ti}NcHhkH9(wI|-b?km#7E(vlLgqc_!ZVWq#1V0=4!MNvv;R_AsuRU zKIq2yAZ{0S#iit|`CLAb`tfyJqq;N2H!--7OH`+=Qh8uynEhIb&39(K)k7yo5ns zZsgaCE`^w{{szS)l=&u6CA+Ut?cIV;nF zGg$nO0x1bP5nM{@_?lamc`w&st)LuHc&ELNHa+HXcrQ6gr_I2nmHGqD%D)>*9 zpPOqie2$`!L|(l`X`^(B$?|Xx!N9AErXXFO@L+CurN&iO5`NjJuKG;D-Od;)J%1JU zy3!pehyJ`luwFklqciVRzIm8w{Ou_^3t#`0;atXi+(f?Q)3-QaV31kxJCEU1yGp|Z zJI%sU&i)5~Fv$N8NVCYJ)|o-mY}8@sKvj?ZDvlsPF5qQbbbPUDv$DDg7BC28!K3V8 z@>&W~iXnY)-~$T9>agd(Br!X0_po>ZVa@?6&=%Jg^Irv?9HH9w;DfP|XGJqJlZBar%lUR@uY2+C>8D^jqWE*NVv+{+5GG~6n6LJr*BI>pI^*71o2Eqc;;vOxzj6Uw?iIC@iq$_lwO;? z=pT=pl6#xSc2q6v>;&(lp5IoFrMHLUigxwxEIA^u@F(-V4V z4}sLewER#wFAK4DmlD;Dtx7LhyeNYl*k-;(H9TI3p-TE88XejG@!U}yHp1|1Y6Z_$ zN^6_vsp?AiEPhXc4We$erUw+8`9y`RWipu#G3RUhqXs@jXb|Z=$(y_8z0EQo8ymG(_63)HZ>ZUd%d4qID zwZhiJpiGm%NW8ODOD)Aarb)`0tu_q?zd)f${I|?!h;|u4(MZ{9*}mo6 z1oB9M&D&l(duw#a)YiDd(1d&MOy#)4tRaZ!`QdxH_5HR&`mZ z2jS7q9rNc#X#fX06#X+QPhU{u02J}-ecLyCHivtZu9a>H(K^+?F0BOr!O^?F9Rbg;7df((^m&q39LP(Y*{eQRo7^GiQ^ssVBF2vKjX}dh33?>=>LaO_u|)R$ zO4m`EbMKwGV1U56sNqx6z)%3|dN-3;OmJ(G^9lwJ2kGg^sOUN@a(7sMz*C@`L4%Rq zJ*h20C8bQr2K42IXS%=5>1)6HNSapXR|K=nNnjOq#{W$5UAL8J?Asuj!u(p=_ zKCO8;j9lcebdMeJ(B0>Yx$!LUWH;~0bzg%j>6l<<4w$nj)36-oy?pwZx#`4|s8*48 zrtBinus}U#=uWo8B;@i*BS~$&mitfwlad7#yZc#ncymE%kE}juHSPsXN4GkDuj&Rn zh48e3=~@8yxd~cSRzCPt%ll9$aq-y-+>c`*mRUGb#?at#(3w1Zu%jt^ zIpC^tl6p(+D;Cst%K*K(qYctjQo%U6Jl>7h2o8j$Gm4=$#%Z+MScn0!&Kh*AuWU9U z-$@{3vU5wdYe5Oh@vk+j20>vZ49$VDfw$JT_WyYi9r+F+lk?}XF7?M|YkLv4YB0D4 zeDTCz4-U*?zp->{T%7Td{CO@oiKD?T-90*l(;&EZYhJtnD|Y3HNbw{eW)#7W%dJkh zA&+64Vo6S0^5Roj9h1jxBhxe*o;yg3VOcZw8g-Y10ZPXhAJ&X6t=#l+GBs)(O4XimL{O#s@fqt z=nmh?mGjH|@}T5avHP@4C*7vjyb5cUkr`68dRZ*d!9*d`puS@aUNmXeIUNg(7vFO` z6}kmzW@g>tb;oeH0#2R4bt4SBMhuH(e=H++PK{8ww5B!g=-$Z8%ELOA2C~Kt%#u$D zn({c7-f450JF3V$ja0Aj2KxpjZd#?`Z{*Zcr{vhuNJ9K)%E(rEIYXR`LxFm3c6DWq z(i+OHLK%^?r$7yCbxTltvT}cu5%GU{qjhY~rO^R^sZoY)^M9-adi)-T=pA5LvI+bo7%Ng-nqWj6m z$Hxh@&!RhXJ-q;&2Q?<)(p<$LK*R^dpBNT1MzLGk{=uCqBtp6NvRJp*2ANYcI6$h5 zX&Ue0o3+7x5>Ev8blnOQVk#=KEc-?^G09KIg^ww^BkEZks?ttMJ2MG|+akxAqbu4G z=OfF;vOCnRDfF^EZh{8GY>MOCQRX_)X-%L$+JwZE%UGa&@lVhpApEjuEN1hve$FrT zSnI4IFp8M_)Bq#ffa0&&3B5dM$+Li1K`U+~rP~7B_WmOzmNnag^_U}r*#ZpAYU?m( zFIKYCt2e);x4%0PjEcNv&5plFPIqPs6(;&zE8E(YP6k>&cBPNZ4a)(zv&vD>yagj& zl&T@Of7+Ua+l(9gUHoKrPl#lxpn_9O^|&iaiG496dzM213rEPL>lg5HrL0J13E1INY>gW1mSHhH|0Ed1th9m~Z}d92Ll7gGgz^^M%2U`j2#k~b zb=jcfcqKL>pQQOy=5*)4JbL|Rhf%8p;X72?- zae#(d*?E3Z4ME*;UK@CqK6E;+OzYaX4f)O9NyLnCYum~193-|4j~rh|jrJS$*z*mB zcGZb#^pm&cH;0|SH7sR2nXnKORN&lW_mL70?V+hMX7vB3BZ8ItWR4DbcpU#=9Kbov z^xUm#mQg&Z^dLc(a&h6Y5_eq34dk^iLfGz({8y5-1t-sWu2md?k-K1Fl-zeNpww?J zX>kWS@Z)_jEoy10mF ztx{2%wg`sNh(Q2e2_`JF#3&YX3D;x02O(Sq9Q>@;Vko1b0&clodc^*^|KjsdmEJaloA0N(pA=X0B71JeKC62yi7V_wYtEgSxC zt<(SD{{A=fzrOtcpZh;r{|~0b{r~DXF^~Lz4vX?%Ui`OHwKfaXZvJCqzW#3?|EtyZ zAKXRR|Ga|#YW;sN=>NhbZ3^xc4?oV63!Y)<(O9khekx39;AiIbbhB7tLRg~o$nt9v z>6|-@W%#*8`fw^=V(0M^Br#zw{i9^u4Cl&Z1zfS(=61u^7Kr~3&aK4GK3FmP2X;oQ z4>%+h1(R$deM)RBecGGl5c3W4?G7;+p|#dhL**c8!O6G|!8ya3Dpmi}y6?&6j2!$b z?^v?qE(95ON2|6BO_NgTl!HsWd81#lm)r#cTq#{yTZKM7a#nj8z zt^BYxB93qd^{2wxJE*e+@N?*{kS2!wzN3|l1JL>-#;M5_WTB$<6l8~0XPh>^woqr! zZW|L~A2XS0To<157aB5g6P6b+gMc(qW-#Rq_rfW8fV5%`a>`O0VU8qd> z_l-zq5`*4#70sK$iZgUdO8=&FNxCgW$qJzKfY8eAKB(Mq(Y_-|J4U`#78AJPo^&{_ zp7RTKopZys93cGYvLdDsoWOP4jP4l1UO61iwTZ3)8m3nwqeY6A>E=90R%1}+ex%z{H`f-TWeYk=OPYL`rwm^m&k+6 z8N=A_Caj7&a*5n$G2Ho=O>^{no7=r-m$=QIg!-N!7S(Q9XbQ*qQs({dw5JVZQ(kg- z)Ob`M)AN*kmFkyi?~5X2zbh;m->8KkMd0-XPI^m|Z=JJ2j8lP~7Vgh^#-k&C62hPy z=L$it=ZW7?lf97yp5xJD7{gZC#^#JOmcH0$ZnW93CA(3(3`eopf|fU$`L~hTtb+=z z;Gf7jECtDUUrn{F($Za0oA{7B?3m&jkUs@(hJ57Ds@QL(Sf%uhCW9N|LyyZONF;Ix zT^eKcQc!8E2s7V?r4`bu=HYP#WXosz*%kYIC7iIaZBP=)%39}cpU{Uudm7z)g5SlCIu(~GX^ zgq&t=TZ~jEI3*tL{6luX1;1IFW%+6wvssJ&B%A3S*DxU_Tb2>^bzVZ-$lL(+nK& zV2r*DIyMxr^wq_ZiES>}9pdg_&i4gmIv@LgoF_3PF}1D^sMbA z?y)}a9R_i;(OK9=;8WoN=e;?{yGFGFfDJp`f_X6#B2QT+Hp;BD*s>f=txDDxY*`lA zI!h+pk)lk8ONrbKAY;O@%y+$!%fj4H^K>RL?1j7h@>tQ>IFy1caI{Aj2a=D)``=n` z6;^H`+gOKelXLFN-3ce|{5=2QiglZW9ex+|({#`t{xHb#13Txp^J=4SbzuuiL#uza zfZl6Sjn^5o{m0L>0RhQy^+O2|LnhuRkh@Q7Y zTP3YyI?do*2-=BrP+pU+*F6>{iXX&6Iw!nS4vdT!#z!w}; z!|)Eo74rEe6!w?RES-OF6{(&%>=qXWHkHMAi;8k@b(I^ATDHH4oC~lTykUzo>}wi_ zcJe+m$9W3zj-Mhq(RrPjD+{V_Hz?qaJAcD}LgC{Ut;r9>uFgmeLolg$OaI`cQhW3B zdT_+(+^b2jPUJa?$pP9K?x?Wt0iVD}*TwL?)e%ohQv+xKTIVSD0747gQ3yu+3>=ThDfK`XrNny;-4{EMt|c1|o-L^Av~UWaTUvDQ&$epl=(GhN`>m zE*&TVf9C=tpz1A>BbC;@ecS0EMswk8vIV7tQnZH7j;Uk5-#govg;Wdy%s7Siq%^iZ zIY_k&k*4R8ns+KXRJ-qkgd&}Nj4HVj zKUF^1kmZHQw7904`4rtDWX#{iJf(5L%qvQOJIja^0u8<0qj`FuWYKam-OMk-s$sxV z=l9=y;^(^cSh7Ve;C%LJOXdw!We@1{5wK{tIv>6zTtlsGaP z?2x+G33u(CA&O)AF6$eolTH|!JCt&WgW`OT%_P+twBdE3<962g7b&K5OW0Mfc)Q}) zwJQfMzy1b%l15EKNxw;-J_sZf$oLDj2SrlX)i@&`DIP4ZWuV2UbgzGV&)-2*E5>qI zVUncqma|aw`t)gqsbb0R)R{SF$3vgHhK;z{f1-lAplBH-Ix=gF>Ju4*>&7}LkXAE} zEnp*Y&3_u5zeGNxTVWv?&ddNR=|uM|#$mFV_rOYP?jaVwO14&rg2^Oy*BrX@Gk;)z zO{y4Hxor~ORBTcV6LLl1g%@^~u8(o63y}75Hpf0kBCyttT(bwG>)|>8ob;Rp25rGC z-Z1W#+Oc>wDdJ5D&Gy6e_qC>xNhZ#?MCn(z@~mu&IpAE=x?lBZ?{Iyx{(8!efVQ6d zwvUpbBK z-bwdrI@0ZTNP*@nB4ux&Te)|1@Sr()K@&h}zXd7|o*z=flH&qerZ0E)v*F{H6OY|o zyxSF&5*v$yR<1MC)j-;>Rw=KM!&iP`qV2Nw=u58D&W(Kzag2~Fp;-qn`^bJp_C2V_ zG%2fD`Lt0JPMba6y~ou-63`5kH2LFId6mG|uO1g?6c&7U2xsd_rtg*f}cil-fgbb@Gh1z;WkH zk4|S15+I-`craKtZlto#TqTB#m6kS%sfMkYQN| z=96pL;&?^YY=8GGX=DMJB6fi;-$O^+*{OY2_3n4NQ>76WBbIU(C+^e3p*Snb4DT5bK;f=Y_EAmdP z`=Yi|V(+~9b@6kgPvQ$g>A}MrNZc*tkqIMhqBl(tRSu9A3>l83VX4PaxR?AvTkgZ? z2x%-VYLgf}!aXdtF2J?J=tECf1NLOa#D5@|Sy;-=Mq4N5^ut@DERsOP-+@~;#u5yC zLbHY3`)1*NMwKbI%%Ywj=+X$Lk!Y74`2gt|)FbfPjQAAndv8eI`~gIYsds4ZoOjCE z@j|k@vZ7D+KD_Di)+Z4WA8|{2*YT-cEb47tGmPGunH|xBx!z(c{7k~ye_#ewp`doc z3w+W+Y^vORKXz4xz)t%gFG;2uZZMj9wEw~B;Bp7f(cJHZXR&c*!yRmL-f1l;lZxf9 zP0i$mh1^gX@9#CN`;*lbkYT-p@0YqZ%c8MLiU4vJ@dd*2|tw)QT6N}KYgy1sd2)+N~y^(Arp4VABcwmFjLG-5}FPRD?X8;JT^ zRQJWJOBdyoO&3I;r)Kj)GC8fiBcizj_c%=Th1L-`Y;e7;foZy*D`J`=S@ncrAM18a zGDE*hNWz|>m%^LWttN{Jr$C`U(si~+2c?eOcV-o@&L$YuCd2l4xroDN!wln+jVK$FKnXE#Deebui&jfI#??|e1xG|>dF%OG_(Bd4vg(- zq7CVpb8Iq=eOlahFYl+uq&s#H@blBW653Z)(uQxivh^=*e&k>@YX--}3{WDyv#K16 zaU$M_?|1TvTyr}9RI}PEg{XwAI=jsnCHv8ijWeB4Z$nvMZhydO^gU1i$qP(=<}q?j z ziS{v|D@gz{@hmu5NXXgQoe5}XJ4_Yz9RNPlPR0yeQyUlW1wOa!&OBmq{Gxe37Zr_F zQT1E>SU2#qcjFh2gy7@#0i&Y|EvA51y4H_d{5&WS5z@3)sf3HCyst`a&5_;pA$63S z>2x20v2i*YCMqcyuvThjn`Ne&tkX3X+Qa_{B?m4DMpak5pEdcENUa0MpI$HrE&l*2 z#r@LB^+A}gM9d1Vth6?2+L@ocUp#>#JSc=z6Om;r0`mc~i=NYdnq@f~N&Z>h9>ZeE zBrEE*-#;Z^S(xNX{Y&Www{IcQ15JijCl2LuZ;97M;6q->y1G1F-E){$t0$@Le&7d2 z27IJ{a7C2zDU|u2VRqWt$|C*;cj3}G@vipO@^e}x%Ph{~bJ;2jOU1Jbf zdE}LW3gc>#kT<0d@TOR~VGn22Ms=B8f)Z!Iq{y8z!n7vgdUgD1$v^bc{%RJPykH^w z7N+?=-PRfFZxDDt^VlCoxK5G$`H$r3U};1)gU3Xp3n~sQmaWQn)DneKr-&It)MmU^Nb+FR2c16%xIfnf{5U(ivD$ zvVNl0hRK|!!NvNMqM2MNzIHKu(kS}mhk{q@kuZ6|Qv?kE9HOrO21v0-O*>LHO$q)2 zKo_kUI_@G3k~kUL&I%fixc;<#{c2o?bTq^BAqHi-@1gB)BUGCJ%^;$skz8kn@q!h4 z*^w=MOv`cwm5R6p#Qkn4LLxB4I;hUbXP1S?Nq^zfsm!Wz z_X3(4cHskPpn{tkA}Kvs-Qmw7qebM)VbA5?cAt?~s@2Cbax?FY$o(ccO3$91lD#u| z_=)$D6Bpdn>dDe*+sP04WXi?fWgL!CcZFZaqdv3nxh@d4Rnca?0u-T|E_v28>v;!0*RLh6MZEOWuZ6n+%k_jJ+ZsVJ7rVp%tcc3Swy-3rx zU!V@eG;$_6oRZ9YWyu#nB2j%^D)j3JQ%%j?5dC8u#^)W0F5PG(XwAfsO0 zWH6(5aIL^g6B@YbSm71G!zsY8Ds2CDpCJ#3huAA6$`Xz89W=xelJ0;<_kwwWe9mgO z2+l`E)O;k)-beWNUO4U*i|@_p?0`0_36tdbZBDd3!h0dB)=oyk3cg zb8tlW1@Jn@^-1?Ea;WxHvrotfF`VlkW(RN{o38{Td#teX=(>$Qdl7qj(P$rslVhGR z@gr;BHd?y6G6`z-f7a(SrTBef^A|u6t6bd}dy@p1x)ZCPj8ZIG7v`5C&(h|W?TbPK zZ9~T`4KSD0i$F!p=oEj!?_w4A5K_A=e>WSLEIvQ4dScHkBLp$KSkD}x`#L{H4lwFS zH@F=%DW3Ei7ovD0>5eyV5QRbCt)7A)-a}zp!j5Y~pM*XexRrPC%(YmWcFLk(mS-7} z!6LjN#>BO>r&MTs%2I!w@_bNhN4$dlB^Wbl1)yU@@Edk-|KGLaeVSi*oN`jp^f5sp ztRKIvNGhCQ42p1OGHeHtOfQ?IlK)d2WGa#qN%EdEAORqB5H^5Oy00v43b+d$7$q9g zbvgI=rTFCv5f{OsD7Uehb}0yJ(=L1J6Uyv0N;;ao!dr@TNkqAv4Mrtvd7A8j(YHvT z948oIP&`ifH38f@waCg#>4rq*();mL74`N=*o=-e_C<49ey6DImj^Wh&&P>+c8qS# zJL5i%u14%8Ws9Kn8g60dUt1dHyS&kw?OaWjv_#)2W}2l$PURpoa4x;#itbTrrW>wOsYsCZj$Tp0M>zI3gq_6{D*B zYzu^;O^j$k5~*{@F`Bh&rbiLXzD&koy#W<+9e|`*?CO3K3WM$=6Apud>wx==k6RzN zw|3A4BHs^BQ{zOBX>fH4(xa#B+?tTZpw3e_iN(5e7`G$CJ}5hsPFg#ZD;*F0T*DXx zBs6>s(P3_KaU4 z8YykegQWF@#P#W=_bPGW>k)ZAK5NMfMw?{!%Qh_~qifiTsRYY{NVzB&eL z`XV;_U}!I&2k<0^Q4o9b6iOM1a4dLa{sR|3(qeQ z9cFLXjkO!IjMiC@>~W*X`*<2Y;uq8$gv2;Nnp%PJHOzVz7OsV6g%hiJnQpYl&T zB$n7mlM^;R37&8X)O2WyyRp5j%BVCe>r zUu9pS0uIGnkA-t&f^syw(~fh%r6u0v_OBx3nEC#Hj}ph{+@9`k%4W#HK7qh#Pvh>H zGVHK|Rf*l4zptc1)8QU`73vFzyk65uFIMAOV~;~eV`eDe__Mh{`Yhu>{kdoiTXAg0 zQ?b-Bicy(_MwK1u>XRHkG%qLP?w4EVMz@{)K*c4`K0HDX0dI#~)P5&Gn63(CA1A6% zgD1W2nc43-%tDDx-N=?-Kbc58C?Z+1hzEyf*tQCCR2QHGQ+>hinB8X91>7RzC=E5y zOTFBjHqrQExDxwPg7aoqh!>lk6(%~1T^zL?-st?`g}~Uz#GgwQnoa!ECj?kh$2${T z5xK})MX9|~i)-_YOLQYjz=+Qzj+8hp;7_)-sai!BThBdh`r*1ZL{XB{vKAu)#|1B{ z%A%DMX#J-Z)zTl^Sc41P&mSpG5BF_v>Q2NOJMC&t-m}f;vyEcEzoJKVt2lxpc6fJU z5^2|`tH+Dzpefz-VL=D*5pfkJv!K>Po^+@s3F=wdds4A163_nHqR4 zSX$!uQo$(NUzBeSL@pUW7TiTdFZj9xMPuwLX^x{@%se7$OOC)wQe5)L{ML&z)&g=# z*eyvpiC>Qg&L7gt!yJDo_RKE1)u%H-%niOL9TbcIdENWF`TzA>a`v`}KH|1~+VfTX z3Upl5_~i{eal^LtTw=-`tr7zDe?P78F64PQHflc4%?@av^qiXa7ySvmCd_d}F^*YGkiktI)IqhWd7d8FL4&P| zQz2;oc6+SMN6wX$Of!QR*VhtCA~BYs30I2tEOm9O)<|0=^t8){{Xwvso&f}JVAKW| zRlEF`T{x^$_zDxJYGIb6#FqViK)7*Kv=Z)$8=-Sxh=cgI;AUryNDbc%GOaJNKGyyp z4^`$M&pzB)L<|WZu-HOMC&x4W2k2P_gi24f*Zj;}j`&D^yBu_{4HULJk=iPy&$%26 z*YYb+v3<^&o{C07FyyT8yH#6l-LTBc$~FE}sYzf_GILO2n8RQrK-nAqI}M}%3DNJG z*PoR^LfI<;v(S)wksmBJcDNImSyRyVG4m1DA{oI6e6}8^&s%CuQS5s{iHo`@5 zV@pSjzLOE~r`F{60$}r#XO2$AN`;9sM#tGapKzt#EYCLs7CaC+KFj`ZEKnu?IFSYS z&eiLNtiLiwSc(0z7#@739S9Gni15%O2mFdZeoCpQ_dYY?3LWEWu@@@rh8$q_J2DtI z1mO|=Q4<<}MpV2_>~Y(Bo#n;xO0INDp4v53CU=Fy!7s?0$x`q3)cQ@;w(Z^op@rjs zrS?&^@kn*_mv>S^EU^8!dwMosRRo`l2e z#~dfqmVzRd^8Gfq*T_+4gtU;`IDAo@ZJ0dvkS#bM*`i_JE504&R4!q&nLoVhlVlB} zpW`fu&2Zx@5|z=6zF0&CI=q7=sZAaC)6}e(b-Z|-r7Kw`(rQ#D*vyT?96^U8a|JDB z1ik3%vO5A|aY=P1gpS*k7A@J%xN~1H+{M@sot-$$ZDT#sS+>yF1q8BDYu;k>n?<1} zu}j;GM8<~UAwBZ)5ENNpH}ESv%3*bl8bH7~MTwu6@^_m^ZQ^;Q1IAdY#yObS^9KtF z5+iXoy;rI^(F?*bZahv_tUUkN-Bg&!XioMcVxFgck#n$FVvbZ(cq84ZS6;QrS4q4R zqS~V`9B_}1$m|ynp?vq$TOF!HjP>(ZKAWzC%oeX>^oT=(Rm1U!_>~jdk_Fdw_7{y{ zWW81zka=eIQex<{!?nylYAl45DyQ!*lvJ}%cjrrhjDwG#PiuR3>oXb`s7abRdvwjnF`CB_DnfdSO3($Q#+TevgC~Nm;_-Xr8&$D-=e25}ij7k>0c#SIVA=1O3eYS+aoX<4k%9q!cGV>(DGd zZ&p{Gydc+vS+v87OR=}5E%mbgGrtvbU`kUlK~lq;w)rCv7eAWFH07HqK3fL1g#7GZ z#zY*q7jydaK}Q+d%-nEI9ef1v8kRPO9sO}&QK)D=irc+BARVY!>&@}uR{5f;6??XUStr8X?u{CUR1)|+WPw&s;*|zJIQ&Bc${tb&oIettTq+la=?l0O9=~<+$84_ z1SFhCW&!*i;mDZNn}b<#=B2-ti_lL-+&@ePaj~0eI%9k;wxs2OzR`u_RlTP5zoFHB zF21HWJ7|JRcv#~~T7_FP@YbLCQ1x^WHQxxNSO-fpQ6kC~E%eBtw>{C%&?$=TBh@^% zdYf7YEpdhk=h(c!LoeY0+RN_`_oZ!0&+$>TF&vL$GZ}8wpwezZxgj5xe-nY&HIQE4 zQ+Gc^-AlCHa;rNj6o_GS>h-)#4MQog;)^`CgWQr{w*ar_bB59}9)%pAfUzvmG~G99 z7euQJhnySbdoka)Q4@Ec9ae7Vu#7 ztPxgCRleSRBgLd=>&2O%M&i^uLKOHWziv#|LVOKAn-MVlrEUo7d?_y`M0|fLn>xgU zH)d^cKX=K<=*X+$p-j{Dt$)e&AKZHa)R=fWA2cvW-+R<9;;0y8{%Lz=2bof$I|0q| zcP}_2EFhz5>H>?4E9YhNlQ*grDYZE;jU-`^v+v76mIUrq)AkEf7g`uFfeoGLtJX zlXmi!W>0t5M1Bl~5oVus$g7nn%U!7b9#ajn$CF@qE;6*CbG}#3GLkZY{%WKn4AM|` zhPmA1bB`bY4{n&t#N3pYfhjKSE=w|SD?~P+L}7aSjg+DeQ+0S6Jnh-F^jNzh9|{i? z%wReDXpL1KY*K*psDT*mFNdBKie*p6U!b!79$f)LD@8!_4J~GV7I{w}zMEZ)A zru>tAMmK=ll_yi_mS~Q7Fkno_J;Qv8mU-xNGOcJ|Ufj#XISr8^p-K^lZ$sS))x?(I zYF30b7jY=>mqv;@r$e(6D|*hw!%3n@EaE1*p09(gAka^ypQ9xk`l~HbvzCPh_)Zxx zY8l)w1>ZT>Pmv`d%C`YB+P#iij9LgJV77ylHx-8p9S$DaS&i`fc&G|3@(KCZ+=el0 zpWcfVkGDv_M)dc^qgk`?iC70bA%~U;;O(%dy8uO`S~yXpScpg@1u_`~L%v1cQ7DGr zVZk*EX^^QgqspNPLG($pA64uS-3xA94h53fwCc-+gc(B|)4M`y-v1AfQ zjIAFp#srKN7W6SbO*AQMuuwGeq{?uYLt)hi@^se=(&Ku_jWIoi>U~Z4%`;K+e?T1q z73-Ur9Jrz%xZ9Fz3?{PEzaP+CdC`l?dvfbdg>Ikc0HaeiPc$y&gf^n++2E6ua2qzuwSEI{52_l{Me zO9$!ZK}?y8?MNgyoMqVISeSgS`X5}hcfuma1TClvkcvO_+$iz<2kM{pV}}z%N{$E& zgdu142BZcAcKl+IJCMtqKg-w#=)<74*1+>vhYQ_p`xEkNm*vW8n43~{t8K!0#9-2) zh|$|boaUwL=k9Ti zhH+NGf&Y9?GQQq3HhP-yb+7UFHoLl-<}@_FRUT`2}5D1S*1@+ zGoyO2Fezi?1L)2f4rm96Hzuuuz}fHzM&B7Z#MB*(7y(;vJ67{P>@hzixSMQz02#jX zvMD)*5s2LppFMyGp_Akg*aPSR1S4JptS0BW)+Mk|A2{&|j6m>$__3->*M+TJ4XZ=}Rgt=o#Ezo9SGFvimpG<_qJt~r<2${+jNo(I#P#ylB4bc z$MEy<)Q-^bp5^0&5baHf4B`~4J7&`YdDp&8bv_dsx+nI0uAvz&({5U>0Fo zbhh9hF`|(_ei2rE;o-f9n7OE_#RlxOgb}YIejd+!4h(M_)s1)np}dw=hK@g+?CD}o zhG*?feZKuF7xDv&-g`O>fm>B<5r~8R_xOMm2j!YKdO7=N*ox5g4ht>9+%wA0X(uda}PhhM|9&a-`PBXdi!967p4G5-3kA- zDXxN?z=$u9js5@;A3y})8z{BlfIS|2T`T#m4fczr`{IHSX0R+_O zrHg%Yd;%lt1D=MVWb6nd7Cu2>qQbXTpY2AC?y|I>R)+yuslre9j1fo+(-*CrZyXKj zQjh<1K7h2ufC2xz?mi!SichWq%oTRJcqBd(`Vn&e+*{lK(B^)0T4-D&Xeq9dK(8ei zNKXND>UL5GFa-bKi&1V8K!#G|#SGiFEG4hihb@SPF)S=pQ!RJdDm2u+` za8`e&^W9L~5Agu9K{9wP1uVd2n^)Ktm%JdGN2!a(T@N6WK*ZWD+&w?(PuKQ`I@lcq zA%`eI1uVQcMT`oM9*N#DK7bGzw|lY+F2snJe{;YMU1woW4*|p;i|x;w`Tm|O++%A6 z)aw4N-`(b&$N)j;%AbxU_{m;Gho+PF%$g~3%?OThhpsIKOq&PLn$0$F%q^%(aWl~O z09v^d98DDuB<|7;7xc&a@c^<0kn@RZXLQXfuM2_k>WZ;xPK5%%<%QB%9)iGf@7vrH z0CUG*0G5U?_pL?{9e36L154bl8y@WUTMR~5-0(>V-TL!?6uU=_hTlGbh@|H1wD2E5 zH=7=^qp^Yj#gIdJx1o?_xE{j2;GIp_jJs3>>c$ReaXbTrFaEm@b^4I+N|0y$%LEYL zRH=QUmSxn*fQRrJU&+7Qm&acaw>?F7a>^LSRD0d`S8Mlo5jO~bYu^`!wzWOX?R2;I z2sy|F!oxX)*arbQIkXPigrI=g?EMNp$`zS|ocW?j==Dq{Y@ZSEuSv}&^%S^e1B@#T z8GVF^ne~NZxb)%bIpW>>8CjXNhFK&cZN}<;;gjFnZUPmp=<|#h(*!WSkZR~k2$>;h zwsF5wTkA#MOK(~MJ~UI|FNq@7?ooTi@X=7zOughN<;B8RpM^MIM3Ep&z${)3_< z(9!1sBuY8SFt4i!fmuEsz3)>z4sz@}JGfl;9|D0gMRp z01|+x)r-#1U+%y6S|QP0P7Rq%6=;sn*VfFJQFQC%grQtdfu=KM@LfLJCo9S?|J(+8S zOdwokA!O>=jP~dQXx(cv^mOK38Z8{L91%Pt(*{c~871<9AJNTVi6{}ER&34?o+ogR z*Me3dhu%F(KfM;FUL*hB!K0E__VPR*Kys(CV3j_JNo842QOFD=^8qyd9X8XBAVMM= zlFETHU`wYx6H}MRI>_5})QYU+aoRfUgO?KB=Lm?%-}k2o;s~S+pca4KZilZ#6ze<8 z$;+gPhUVuq5<^yc?zRa;Uxi+)$h=q4kuZ;o2)mO~vJM_V2HhdgR&>L!c_E{iUc=4S zz!bPg-qXT#1Yz1w0m1_S={uM1n*d~l%pieakh9PK@U8a%Lf$C3H&2#aD-mBT-Cr7h zX@}i~9Z~}AQG(0Fw=;m;uJVCr5cTa7hyc!TKm{}m(L*Pn?M6U=K7iU`HW%9s zeSr_4K3Mt{{Am-6KyFe?6h_w$M-_}7=_C4(7Q8@@t(L|KxLamxKaqZgtwQgrz88J* zJ`^S_9~_rzxwjIRiy{=U8WK}e4RFSUj87Q?eSD)rb*oET5%W#`V-N9nL7d4~p03QH z8d6FaARReC;~<^8lxzT*ByfHg(FEfYoza!M&5)RT0KL1!vy+u_1`j4TI6-zhv16HA zsSP7>q9;13Y3Nz!myRV5rvlfyFX5K5GeopAj3*&&vZFKNE7mhScWHq1Kf4;Gc7Am_ z(HHQtzUT+M*Khr7nXYxg#%Q^O4qgn1E1T|P)WRm@yTjkUZ9Rf*esq;(Q%x#C@Afa$ z<;o%2ij+@mB!G}S1zkX^r&1e^v>s}UOumALy@5>E>#y(z!^5toExnE#fzlo(XtzX& zyZhW@;ww%B_U%!V5`oY1ecKLE6D$Hz_P<%#eW>7j%Ww%l5;eVVI=u5=+UkV$0xG!D z>#!kGCKF(SUSPTsLID!$qRy6WHUNSF@)MXTKsXT7zf5u1|BD3rFJl}U2+YI`B!VMR zuoa5@F9hgc);RD3h^xyfDst8vHSCtEt;Vp%l29{i@+`w)J@CV8gXTX(;;NfDBV!}O z8(dE%Qs%RQo>p&sP14NS!BW!-jyu;T&ZOCO=CZ&dU6NvDS)jMKzGmp(@5WMP(Mpqu zw|kOm{iv0-+*_+5Y3RudzbQth>fI;?+YMp%D6rb*e993o{(z{hf6 z+qxMrZ?TB?8iQacw79(6jZywvlp(Xgyz_<-vd52jOh@Ha^IBE7MJKA)l}1f$n=uCR zJV2*RdiW%B*1m^Wy**`uKL5m{??Xtf9GUB48-vtGQ;t>+SGMJ%6alqvp)$@DYysh(- zlVC;TObv$L$);jj&{(F-ruSP(xw~Kw{JE_l@vFRup7+#o*I}{@)a?<C5)S$}0x1J8 z)!&Nk<0#a|b-cVIom8G<#t|F4E=#)o=%t;eI;k1Q8Jo0$qJ=8L9I5)$pX5zxwaGkr z!hEB99?MQjs{8~-$&6JsW`FyUZ1jfpx}1_hujyJYW7q7Y7vC@TbA(`ALYMOU1L9R7 zuWzxyrXF>!WloFCiYO1Hv^^>4^&llz8IXY#D8e?77N2B4dXZ(x6iZI! z#NZY(LN|&aSn(<%fGw}7&7wE1V)owdB@REAfo)dk@=Yj?!=So4U!sj@kfQNM_G+Fi zB@GkmO8-mjm?D`Hmbbr`k%#OUlh&f zRJ`W+sBEuSIXUO92&2?3VjM_GMq#!NQZl62Q&P8t&fXJPnr`sD2RB`Gmf7uYuRog$ zWqb|fl$_5uZJ}gj%U0%4IkCTM#06{KG2ta$nq&SX!%MZkvxe_nH|x3XoKcqa$E;Whfor?C#D}h@sURZ}^SXMsa_pq!g9op~ zSX@HcLEGzw;qvlb1EK2b&MPtj70$asM_R2^Bv*M(gh z8O$knoD2FdC8wo+s-;QX5BsDw)6~jIM|($ZF0Xi(waM|E{7Y!b^)E2=(ZA48%>N0# ze}SPwUye-+?^Zfe& z`W10H*K$ai!-_Klg@Kp)jVIOD9rqr-w2@f|Ly^eJWr~QH>V#!g8% zAZB8e<^S#iAVh$zR76QyM8dx@`~C-#_5p+!0r*jJM`V<2@BKIOqLRJsh)v!9A2?rH z)YJdQ3=9MsvHP#b5pVP_)}Otww2Sdt=9R$Ffb9pdeXZE%NGA@@Ukp!~scuvE%=VYI zgAd6{;4V6c0*fG(MYfw~zB84Z`3GUvVH^_tdS*!~YxU{6tu&psf3J|jUHV*v95oUMoopz$O>2hQi5vvx#TqD2pqd+YemBX<^jrE!Gq|YUB=|8dQ4MKs!8iTaIYr zbhb1D(TNmGM+Jzn>1F54HN5)r!-3IB3|U3N#$%J_`h>%_zI zz_KGKN^iNMimXwyfymlQ>5VFsVFcWktMV~y*EI5Z&NY)>#w1wuaoU@4n zIX#%cb_?_=wPrp)Z?F1HY;`yDW$fQE8M!z`JhmBE1HgZb9~@OMac(ZhHkm&>nSgF^ z#dd};=&03msSP!%H7H{+*Bk2anV*$8b)OnBuwV8eTp@isa8|3% zdfpbQ6%LX;u<%P1qt@w@C-|UYKsJiqr{QG!g~K})1J`Wh*M$t7-$@{Y^oD1KOgH`L zn>Xjy#1;e78h3bXblu=oyYZUQ+1J@dWU7SqQmLSx3Q_mbAY(LBiIWvJD`b+f7Nx71 zATiEty91P?Kyno!L_}L_P}%b1m}oCiEjxRr`<^NIEl7l3M5IQiR6fteG2fJMyZ*F7 z&pz}uW6*;9F4jaLrJKRQSDkBS!pSs+uG$x^A2MDd<4Z`kptX917xv~1L~f?k?;Vw* z?Hy82lhQqG%FA$uUh4g-+eGH+KzWjLxk)RPAAPl`Z*0ZmYp6G91^lb@qrTPI3$9;B z=IRTFXhjXk(7)U=;M)lCCtPV>oz*tZyiA_)do&Kkwys!qF>L3mtRLYeKiG&}2mB7L ztJuf0x)sU$<0=D2-v#pz*Be!#cXkOfr~SESrokTJXDKLgS3bh_I1n%0SlC{dF4F07 z`=SK4T@{Yu*|LyOudJxmbF!(>HIMJ%pcmPZ)7#7^gh3~_x`G0+{P}IzuVkHxn%L(y z7U!kUlhyZmdAlc~+~jP!-8Ehjm?L8}ca+^w({A)r^y}Z#p3YmEygI;>qAGiHmqTHP z7mFXIY};<0>DBqQhog4!mBVL(yTUrW_4-j*>ZD{WSrwgb8NnVzpts{S+iMo!j}M?++*ZO$+ep#qP?2ZLpRBcX zBLyOaqL$arqf92sFU{mwDS?X?k<^FDwFr>m|qHe2F@~i-qq(;557~By`!db&s8>-0b z28Ow~bsb@y;h3B0%MU9RL}?dGMl<@jHH3z=Moe57+gy5J@f*_ca(W8IkiQFDZL$T0 zF$Mft21MKB0bSx`$pEDK1XjfgF>5lAfZF^O1o5Ab;4biGatMFA`88R7-}o z_TxH3ZEt3J#ATr78ZnYe@^svj1m;-Mrtk||*q8X?^wAaqK85qXlFDsV814H-6fEe@-Ff85%E}doUY##15PW`hbbCL zZdCuL6p_E+j8*5`r1^xkNJyY9ZJu|b!**?pDdq)EfEXtWC3WS|f!NWZ9P>czOTqSB zpR1WIHZ0AN#DjEKB#R5p_6)Z7`JG_gs@9GZ+mGWdOjE5_{G#&4m0`q*@68G%>ibCh zXIPxXW156~6I*hR7jbgAH1pwGmefws)Zi|NH-dBstIi6?f`TWRO^KJltJcE42{TIl zL@gk~nBn>}W4CqgFu{gB=})Zh#EdS=E~5Uc8o~lCei7$tW*QuDPKojEdRn86Yd2ajoW5w&3hL+7pJj8YqF}ve-{K4S(pn>Q z0+_Mk}0zawTRPsQb_8RwetKEV?(cQyMl%O$nDV2^f-EU}KFQplVsL5D`7CCG6 zDN0}B`uQP`Oc`?md?djfpcG!LRemAuKnb>%^bYUmwUq_+(SX* zVXpa(3Xd_#EY*yAG$>7Dsg0rQI<|>7AjUjO5e~+l5%({j9*1`+n4UcNt^!H!S~o~pAszNx2|Oj#SrnFIIru9ac@;@?Xz#Q-d6# z3y;BH_mB+ikZ-HA#nUWXE_rLqCD(ZL_)$F?c%mYh6kSbK;*N^%by1OA--y=<8sB|g zPjQ9Dgs6I>9X+3)wG!X8V__YLE>dazJ((K-7{%JL>te9qxO`C$P{)`nAW?i8s`*4S zCfJ78UB5t+GW&H-OTfCrqwfZhlQas#Gp+obGX#s=F?cstS*G$#S2cn(l^ThyHW%rq zrhQKgIm#)x92#U!m#O$I4er$qWbkB-Ua~m;iAB43780E~;cG6kSZAygT{Ll#_-dxQ zn^uEgL`1=(j)*pY35Hm?c_2TV6@ynxlfpI@2ebD(y64UV6$xc#W^q; zrnaY(A%3f=TGx%FZ(@Dt|41qRuQY^o6^@JJ=p>r=#IN^RXvaoWD2W7)#kcJ&H6iC{ ziu}1qx6aU5MP*~WuTDjOg%_XWZ_%hZiw?P=)Kn;TLDPLP_$eEL8x0r^HEmIHYjRUz z9+={>4&6r2hn$kh;W<`!siDn@H%dHdYeL^7#08K2k$IZlPAS%o`+V7lFYrYM-V^)v z?#=hw+4S9Lx%|rGJTe^CG~)UTX8F729A=kG??wU>GTyKLl{aA_=Au5;W_ZC85;R}l z$XiEati3FPWO6vt!{|4rBrIcB z+J+gW$ZvZD^4PFiOXd3#R%U*3&t&!0gAdC(JUcs2;-_#eCs|Nj7UfVixL9jyA`gM* zA(TOkY2r^>w&;$>g=Av#uV}kYRw<&~Y9_Y$q16YALK7tP1`MS46}M!!eO#7ljF+i` z!z669$aL^fV7AjA<^g4v#C1k79x*%Yr!A~!^Ta{?=w%{m-p`aS(}@*CH=$ZInvn;l zQpFT&Mksol=xX5Z)Uj$?mzu0{G#lN{#3ijvbhn4yU+paxy+i zhAO@q=9IRbOLqUJUZ3Wvqx+MhneWkK6W0<%0ITTqV6Y9qF<}$b$rPY<%C1(K&WtUx527dG zJCNSXD9w6(M)}%|#2=q{h=t6T&lIUFjznHO)BBYmF!eGy1E-buRaRvlzWsc6&MHq? zEcU6O@gg;%?}OIYZ{#S&-@LRkS{u%ed&?Dc?-ztRbGgM{bqz2O@4NcV{PksMp&ABT ze@7>0b$EtY)p^b#{)u96aH*>Lovn9lgz!5&%AP?AP~E4*p@I}rS$*5|-y6ByCY!5I znaTap2y$yRagOv2Ds=@nfc5yn@FnY!D7N=+RvPP2tsg)2XyTy$;dZ8WQn9F{XHd*I zKD-FhkM1NlmfV%6dfT+N`dIFkO|*uJl^RnQ0*dQAyVgstj440}Ujs?GS;ekDj%G|L zeoiBqJxB~wos+OS+%f7E8THs$d~+VCbbBAo%3qM%-l51=WTs7DQTZvI@YsHj#$_Vb z4Zlah3`z~l2H90kJK)Ph6KYvtJffLbUbBfn?GY1hQjbgNOr`9U!k!ob4E z3$1GQK9_|-U+ZZ76se9UcdA4-`47HAVC`K6T|5 z##KXexNEvLaAY?3W01~|>oSV7Na7-)>h7c41$mU8Y$GZT08)xV)IS%_^mK1#;!bj05NIc zMGNij>_ww#;nzhuDswpKc|MAPli5WmmjUHCdGc{6BQ0Lp=9YQVIT87kBf62NIB2ts z4zm&p_A8p)<8jM|JNkF|r-Ob*s%vR&`EeRmn+rYhA!_YWWE(v`S6Q9Gv}rp?GOmY;}#(WvDK#pvpu zB<7VJF$&3LpQ$urdNlBL`kC;l0%@pEKKEC@o^=rO>fj8gR`E1uH$ixD zl-f$gsnH1jB@xnKKoYEV@jdtFiEH2U>TjeI;xz7aO{W`r&ap&}zRQDEd3K~%zQlSX zS+FBB!TqSfffu)Fc+#hW?>)m1Q-Avo63g`K)>maTc_t5FCn&*h*&)9%rLa0#j+&TW zQ0`wI!NtGh!;3^)!lWtC?>&?&x?+rZ znBN%NI6idB?pdz(Y83luD!hu`PtY0n5nZF{%>+}>v@Glz@t=J^&69B*VGZEV4cP~W z)M}$Ne*>ogQ@J>Nxv3h7P7W!F@n9TK*^g6hRqiK4<&lcr6DE(aSO+?iQ){37Nm3Uh zmGrY3qr4f6EJET)efx*6+R+iL4TdARqdG+?VeOKliOJ3R7)H>%g?+1)NTR4Hfa6nX|pogs`2#pUX-i2mcJP6 zj89(vl)+ajC`4@wX%S=Q>TRZ`qFnl>NB!zOUgBvro<+AxJYX~>&F<$F$_V;!aT4jV zqA(zkpZZOLj6ZL3-6BkdQBX0Osf27ujQYf%f!tA4x$3c!=#twONqdd0;>mL&_wWA=0{cZcQ`;>tYif7`0kZq-OsyE>9oeG2g4v3wTqjUitf#_qg7?g&LpPw?26i# z&akpPZVR+ahwjG)*E74cXx(apk`4TVDu!F;?#733lJBl@MDI^5u{Tf}GVkZtCRI`= zQ?{O)vCmKLmiDaTPWeMYpn=9j1_yz-vl{#7XfJC>Rlm@BKlzh5s$3uCqe7d@PLcRY zj5Ak2@OgR=u~a%+O99RApqEsDG6`3cHUX z>UhRkL%1v_+vucctr5G*+@#5?`EABmwaf<{26TYMkIz#aXKm|pd+Jo=>piy5ZAgoYGy z<9t7G(ap(lGcl|)!Dlw&Wu(|7H=3A0GEjOOD`q|@TJI$egQQ5IWx5W9!eTRQjfKXy zA3x0mJ1~|>A5^jZCLO^~c;YEYagpLF@44epg`TxwP?dC0{+H{NYkJW!Q!UI zyDwT+z6(_9ihk81i_*KF!qb##$3MVe6WK1qBA6@oRH?S~czouPbJh@1y8aFNg6Qjmy+> zOnW+Rqgu39S)u{U;Xkm=m_!`FYX9)#-^_z^04giUWKTy z9zXkw~pU4!A0zkd}g z^~={a90jknUI<#`wimjfD;^M`n@A81&I#Vxt|8f3ZQ!>;j`PMtLuyjlywwWT3JuET zhw8R^UbKi2G-ETIc26V_HDf01W7HZSLX?l_{*D$P2L>&xu!8sBp53_Sz$|56QTyCy z5icCRsa*^d!Km>p8gfu?THzZ!H zdRl9FK7Ab~#%)SWt4i1RLFxSF*Ma}Aa?ZuS-e@3H^q8p!|6^Y}30P zGJa{kypFOZa)sJrH!7a|tOL2rpB1gnPitDHGV<`HEq3^951e?bN#$|kFb(rCC3=Vi z)m@t>&-lKM;w+HtP)kxvJP^@38ID=BL#Ig)D6I|e%mBu%zq5=jfcr=)r_N#gu*1&F zLw_TVTnt2$+Z{97%~+@=BV)tc=xRwV!oFEU+QCYw@NCnGMqzV$*OgG^fM!J>-!mrt zz13vQ;zx8rY~iIL>Bk{*SsZ4Xe`>srZ20K4YU+Wrl}YpT5fEd6UMBarw&LGj25Vn} zl*qDEPG%-dk{>Gtxs1W)Wm!)S9m zX)E+e=HgoBcQp385A^WCrvAKIwP^&;>#Pi%OucFl9we%G{evoxzS%FRmgNLZ+gHL) zjQkbyOGuBm4MmslHGWX?mA@47F``O?Tnl423vii9O75pZ4K_D9YYUiYf7`l$`g0)m zZGmlDnhBIhdbIh9==(wq-;1zq-9*Fe9S!M%81$M*25xEsifKi2@w?I(L|WUhN^8(l z?w?U87fAIj(!Ju@Tg_11ges4)%{`pY-7 z4Hk6-#XHLHzO%pr^i2=QsAeK*;D*9FGsD$8kS0DB?VY1dQ(6FyP21ygqU{D;gNon0 z%y;?mIKbL~H{=`DYU30&np1Ao=KSkau}xIw(u~?E$@%HPen3nf`h@%K$b`Jm-4N9$ z?T%AisLd`+?dAu8O&bf`l4j#0r7d#@KdE%+7D>Q2s|n`E&Mn1S6iDaebcgi9@UsT4 zW0JuXN3)5%MV;gyKWc-wi^P+zQZ?7;%johU>d(o)$xOc1D2EzTZi?#ugv8#j3`P)C zx`fY(p_fx-|A=Hdt)o1N$>=@{{9vHpVxi2cym|n44J46CjxpI&N31&f) ze#aCLnJPSh>;gQYuyfqyPm5O5Cx@}C=iM(EkKz^gQLV^P_R3+H47+wJ6S>zwjk$?&>t$-A zu+L+JKCtZ%_WiQX8)D>|097xop(@Hbu&aA#RU%Y^!*`7uX|BZ3urM7i0|-@$fGw2RZjJh@hsG+VHGaLC%OQ^|!Y0AW z7k;nXO|in2rPeK}knC{wmSvzvBZ}L!HbWAh|7VR{W*k1t6>E%n%`=r&(&^9lx&y6msWG`77;pP_~L zMNlg%&|a|zxu&TU48gozYE9)$2f;WN#E)a^m{<<)GFTi`f4IDYyS@vE2nsF3ePj*^ zL8rV9f`!0=c<85&O&#TY9AxmRJ% zM3HunKxLY*%9naoni7t4BM(YxjX0{6eXyH;%3F~LQmFs+vpH$6(*>?mZe06IvYQA_5gM%UR;jy6 zr~R$S6+y@4Zl4!LrM5?pQ+D+uYnvIhG`vXreXY%Ntw(G1Gpl%4S#aAe`nsjeQzM3k z^h5kP=kTa<#L^j)er=fk)oo=QF)UE>Ul543v6AWg~B!8Y3ww;LHY~DDe zX-o0izvK%MlojK0dzbCH8{$M0Ls|BUzZRE~3<(*|6N*q3)|b+9uJ_zbjVVP9o&R#5 zF(@0J5sYc(%&{%1Kd3x|Kgsn@C{NS4ovM=mYTMu;fc>stoT*+MrSLn?@6fBv>6xCJ zRDw*=F0|G%c8Y*~@3M*nxnQja$h77aB4>e`paHT5`-u`7UgyvJl*6znf)Z>Dm_@D!GAi{meGXH`Dj38A z+o+Szc)aAf&X>Ts%L9%M%av>%R}*8EJ^m6SJV;A+lv?R`xR!qs4eF9xMdQlT=~3aule*I4AXN^$T zd86l-b&!LBD4D|hy^-L(5oH7O%7px3!>RZg4lHKDpj0D0^8w^g+f=w&m-7U#lcCa_?QMu`sX~Ib{IvAe#o@e6_C$Q? zQ1#|eKUM@c4YBiC&Mk|ha_JP8*XFo*fI19o`zL*D|byPyX&e-+PL{hf3Grv#Q?dG5TSPiWMpO zTABnQV()!Njl-4UCGj?-{iK-5k^t^BSYBTI(YcM7g^&X9LtvSp-~#ZImU@ByS2XGj z-}clS2cET2Gx(F^7?L{rg9PMAdzC_=q+3qW9~6v5DT@&KQUM&_x?Nu?mX`XOL#1$y zNZcy+x3~$qwkh-;6^s4lcFBrIgvs+p*uFd!Va&$M7n!W}*&NuP!JBl%?!v3Ss5Laa zZc^+vDQ&wdGP1Cvnc|qjiSwDdE`o-!V)%4KHQ?_qY7bbVTJhrCO!Ruk`w~SG;~n4v zSSQh{pN~==YcsS&r7*qx>b9-%z1j)#UEN_sZsAF(Y*n&{!%sm;swp`~Wz14bF+0y- zbraCV4iNK7p1QtW0h_A87*%}7@V;||`B2E$J(ci)9Oegpk5wG=5(O*iO~_+jaKD?j<}#77UQFT2v<8dk9zIN?DEME)2@Pp0@Q>;@@V8` z=MyoS>$h+Rfof~ER`d!QVgk{!r}NYe+e}!DgZ!)c{N-1L2~1WVbr_j{a9HLPeZhaX zKTe)fJyW{p*c?ObAEx}J$@f!aBNLGD$>r>w3TNG{JsvnF&>>7kj5Rr5Jg5 zFuFCLb6RV+NG|pKt;|JF-z|=r2G39Z35Lo)GRm0r#wWuv!Ec`0r+QKR)gL$65^*mt zaByhB9Pu47prxmh{5c}TWOhc#DzMudRPNl2iA4xv&xk!1Xi z{chpzQPIBKUi(Sg)Oo+u@pIpy)Q&RvWp1n}M)0kaeb%!|HyA%*PrYb$Vpb6}o&f#H zD6jPLRkNKSOb%5Opv7Eu`xZ%5xqRWb?u6q;x(~9o`BWpZub@;DTdmjrF^C()?8_2Y zYt_J^tufDQ(U+V^@FglFYB**PliVlB6dR3{s?cXsYA2tLWUj?_wk>QH$Ky5}ExBPE zid6=bdJ2evHbWO!Ju zdU_?U<}t;&vN!BFYa}G@ArmcF(1TX9}!$+}Gr-oxCCFcpKn**`IZgg~h12H!(1T6#fO(8+yKN90s zn|J$uDREM`NUNQ4Daki}`JKWGEvL*<;7-}6mG4r07EIxhMtblZA6cv!UpWM&Al9|S z$6Wks#xZY1ti%y@N>x*}_HphM)Nr}^>1q@HNFQCUcN031OXLz+wQG{Y*n(~=;HQ+$ zFkkuFs(mJ18M+_aHcoC|18-Z;+T@%%SW_&Pp(`HzxIa)Jfuir2;lnW#G zn0P6itcUD%BDIV!V4*|Dlf1m?#~PN-=a=5hCARS<9WN|Ec;S5^McUNSkb~z2m5Y0x z9Jo(Y)ACE?gT+ni6Ox5^HrSHHZDYNm= zS__>PtlAgb4h1XM${$-=T~pVno|$&M^4OFQb79dNV;-??4BCi!(Gl92f$?Kt@`eM= zo6Y{3Nr)V3p}!RA&r53X%^zKU&}e88Eu4~tnvP;oAPxH`jX-!buSqkM(I@ysyRdCm zJDwHf%;+6Q1G-g72+c&jE>?*T3a-_c7=URUFn0WkyI|N*M6BOLCx?13s=t1=OmB9& zNTAeeA4*!;#DCfbKNhlT)@jDv^~y|OUT-BhdI|3a%Ql%p1Bt2)oh+rd>9c3KqGINv zyY_o~*=)N?$WhwuGuuwSov1gQ2eSJYzhASu$2fl}pw$fFF^?ZNrV_~4Pi1W&vYC?< zqO|78O=Aj^`|O4%KQDo6MKZT#W{<1c(`xX`4G;EtH$pC6Xt+$CW*-L_idKd}T9_}` zfUi0{n=wPPdR{KSBhg!rQjzmLe$|)9Inc8TJ=f^*Ak6~ygR;2t`&pvdD!$@fZf~=A z(=B_4lpxt2PF5%S{^Ar_YS8YoaUhBOAEIza9W|wdtzeGJU6L;lWRyQ%ty;RaGGC5P zGi}e;$q04lBks?Qh1od9>fug$!!FyuZDE+4V{+m45(803mduVKyT&XF0&7VP0S$0`Uf>!^PB0ynLD=mm%}>fN`ppRL#-EKMRN4#(X4=w=DPX-@v* zZ86aewh(!0)ns9#!zuiylv4S$DeX@?l;=RxSat*$ftL8?Hjnda-P;|Ac1RPwoxOc= zeeQTv;waA+a3t{6?7v{*@I(;mOlT|oCaRlqDNCK4#NaR1<)qgz=+j;#|0HNgOB45~ z3$%6t_E=P~(tJ}*+R~IgfU_-itb2;qNk!oIVLkKNf?plrsOOhg_21qArFdaq!KRV-y6s1$} z(|4=HYG@CjXRe;#Dw6vRfXo#NFkh#@fv@Ye0x|}P(JZ0jPmHuFsy4BNtD%wRWgFX5 ztfCv+?tPbSnn3ia)^x*WJew&&hu5km^Y6Lz-P45d_n8vt{Dl3vbei!$2;X!K>6q|} zy$k6-=V5<4r8kyXmI!RpOPk9HGNYxC2znaHoFHU2Mav4JM1(GtkZ13z}IgSL7u}JtHMoeKe^5Kwo zZ43WgZkZCF1-vLpYE%?7{9yjV_g@6H-ADMD%{S}>_Q9r$PeeHT&rFJs93F>d>KeA8 z<*1Qv?i6%}ZaZjEBqAyBkk|n=`h70y!m%Fy6ceey`{@Vi6|p1|b_KVJViM&?*mm)9 z2ivQ^(zknzqoxZ9zAMvyi6yc>G{Oy{zjLhBWR+E|W>%`YiJs9Uz4u^WC|M!l@8Gn) zBt8ZAdw$Q!4M`W{OPezL{_O$e#>C^7;TH@4`PtgA&t4?UouH}htOmoUgxx?-b4Zq; z{1tWJ^gyEE2b#l}j_L}fcpMjr%Z$ZcDpSsn9L=vFmkcoh4KRbG6PwjUJLnF!_Ox2f zXFr4f27ce;(GmrzR7rn>B~yX3a#CA9gO*T_ivotXE+Go^v4T%SZ>+V47@r0E+dfep zF}VNka`%;-`eq=>_&_?3Ki8qD=JTltJJsFBMz2vs(?E}H;#)i6D4sYf23%_9?}P8{ zcUzLEWvRQc`UIa7B}*~0XVWQ`-E2vhIZJ(vWfgd}#CVNE>p(>)6l;gOjE8mN#*TL- zP&0|ewCSUwt-1ck%4*J+h=8w&T8`{lGi_2kzFZ>9S0jFkBUA4~2SRNYk0&%6_7RR7 zu}6_8ZZ7#&U34sb3R4%$m%GJ1*fF!GZFca|VqZ(wLEbjbH{Nr>{0*h7UX4!}?%63g zNjsVKu&^vFyP|L^Oh`U)XSjgroMT*-7fKOCf{v>Vm9;r-@%}tsY{SV$NLzEIT(hrV z?dn<3l8wLQ#8r`eNGIqqF+*tCtm8LA^SRKtxLgY?G8HbP{J+c1t=U|EK*~RWFzQ+S zdp$5|w!;=V1L+B%ZGpsP=(x2S`~u4K$<_nh`Z@&VAqr;cbp?#q=p=mZ9ns8@mA0w5 zUq0v9@(RiG8yN{Y;qE#aNr2K0^eADH3sk)~oeB_ejHZ42NFGIst*OC~!A&Z+;#jP_ zE&gMDx|Ze*-l3aQJq}9>M}DcC!JinDi!F(2eBw_;C=?}@-SsSCMR7b7&7y~YFw@ej5=o<@aPg$CydW3&)lQh`9;s~z5K zBEowHnDjc=`KCnVlB@>SmpIBzpjNyAqFvv6#2N%GXGw;u%XMT;6PAM@4&LD#+H$crE0<@(di)M05ySS>j}mj<0X|WZ#%n<)wvp=mjG{O=}NB}HVYhzM41W^Ym{%gN)O>s%7_ak zStR>0#V!z<4%sTI)$02-A^Fkc(y8(Y89;I#<$^MFCX%cJ)CaEj-%Gw-r5PEIbNvXDWl`fle3aJ+B&WJjrNM3Q%lDT!0ReCOsV)^Bqw9#bPq>n+IF(=(k~zwyv%p2 z%GmmgxofkUJ|7jURwCXE)d=UWGj~s}J8;DXPHUuHrR~w=hI{`?m3~S16Y%p7|DpIv z&Qw;j8g!J1mi0btPZiQsm;<0F`|bN9BQIa@b3cVP_aBc6`#w}lf^^CDcI!F|(Nq9~ zqF%gRp#Nh(=(tUgt+095UxwBy67$pZ=vRxpdz-N_s+<&;snSwI82WVwl^T1si5vyD zUPmmm{mttq`qR#TspYYXQ$?L?Gi3Y;qk7BNm`2=L^1e|6Yik#iI{TH41hGfR8ge+N z)FQ*4*mWXLQ(4|hK@!<2|MQc5J$$zr@<6IVBP5YiewD4?vb$${2y^W!unl#nazekw zknXyd1TCpSEwEwUIH@oS3`%(m_iHE-UPEPw5}iN8m827^di+kepe$7E21EKPgPL!V zzr6RPL0@lQX*8NThot>2C>r*Cq=lzkte-c?%iLoF@l4yUXxsSEU{4oA(=PF~ir_BE z;3!xH)Q;9y_Zkw8WI?vC(%n3!l@OWCLCoWOk1CHAvl!fG^3aJ1*%_B%C(q;v-1+o4iPE@Z;|yu*|z>2r*y zlH6U|msszgDVNZFwhm`XD!&!FDyqw?%in$agm5I!sV7ms-|F6}WfOX4&+AubeUnb{ zmwuwvc$sP(2d1Bamh^$8!2|RIaIUV9K2HohWq7QpcNq>&$D&>|?-c$&0dpjb+f`cj zz!OC+8G#OCQXx5tzw;bM;Ot>nTJOosGeRz!moI~!-khRgQzQj+w^N~^eX1Js{{Y_) z{f8cXIx+4TVEKr@F@cUVFdHkWUrI4`@Kt6DD8~R7j;3?|#4S{PZwGPIAuWE;Aaw%A z$qhre@j*jS&Q0nx4xPl!vguqLoGMuWCd*m#GczR#eY@bQ_u+P+x{Vl%%y>@{x|;B9 zk8sxu!AqS%j0J>v7F~N{7guvUkc>4L@G%%Ij-ZKql`0$>jPKU-7;XRv7V=z-Un8Nh?5H>@E5UTcwX+q6Pf+iyh@iF34$D{y(i9wysp?YE+}6(OrgODOreEEs};&7FM?~FHEDNc|+fUt{+8{ z5e-~fKnKjLCGz~odL=6Plv+_xF3`Tcqm~Qr3Mz?JsnaQx#?JU*h|0+SN!(k{nEqj|O^Q3v;XS3{mc7+-*bQCzgb-82VsHnOgNK8MQmSpNz94eq)@4FS zx4EzkS@hgJz=6xdE((m)!Le%szP3k9z zl>C3OOjT>TYB#Y;IICacB#`XccwwTt1A-EuK*Q{{R!bRJJjfFN*i#r62{@abig%Ftvt`+(J4ku~wPSzG6X3lE5F# zP|k7aql4Ny;K~io()cC5o2^S_%S%g_%gY$<5#Z&d;8Z(~2-10>K?{mN15*u}71*`WAVevHt+GGk13jm`cQ`yYvSR2ihLy z_g>IMbsF&nO9)H_gbp4<(^1nKAQC`@hv^fShwed9*aml<@N)OfGTrfx^#lk`ga_^+ zMN{%Bz(^T)l$ZyXla+1YD;Q3DK)B!VOlV6*Rh2Ic`xgq30Kwui(gZ(6^_)E46_^n? z>Eq2n2$(4I71lEM_N<{UR|u**xg%&3@UO61{}7G=s?xEqx(h#P8*qOA*V<*SMu zu&@}afCrF_sa}M*V|?`1>RsdXmBG4%Sv445`07$AUofC+a7!2pmI{=aXNF@^(xU=A zLRUJLLZ#n|+16kvUJT+~pK-qsLW*LQF3C*kl`1{ThO@)%!s&i@_>Xsa&%CPovDKP#-hO z8o8O*9Xy<9#u|i3Yk?IpyJHX5VneFR5@bS_-93P_aewm46vV2OFDpbTm6Xq41%jWp0*8(xtrL%JV`KeP9rf=1A z;#96|mP1h`Ovh+&LUx(V(TvSYedWIVuI0|$GNCAIa2vu(Zk*4D8Rw={sCq8BvROKn zzD`)&(}#y#bmE~Z9sY~K>CDV-W)bg-l)>of&cI zFRk^RPNTV=Ntv0ap!oFm#s{>c!~@3_#j{At;nxQ{Dq1q(xauB?hBYsml>n&lOP zD4xl1hUFts_TuI84e;rTmzE2hv+D>;;N0yNco)RCpHsjRk7(VsGc8TWjQNH6^L*S{_s6%I5e< z?GE|5a`?PdxEqzldM_~UYGSTj+SANb2Ge=EfCtp&b5U3*GpTc_?v5^^h#R3lX;Dr0 zG3MW~pWDAPKjZF5gUiV3Bdjw7*rs7GxCTrF5ROJvFk)1xq`z(|d&-r-$C|~&NaIqT z@N}0hT)0Pz;b~|$xkN%&={><}5i=@LoW;x>#e>4(=2WRri!Gl-w7vBQ%%){ZBN+E8 z9*&+4l9wlD{t{p}!F@56u%_YX~5oNM#{{T}2c>Y*zy^&tT0ZNL+ zj-l#p^@d){`%2lBdC3S%uJuR9#M%ev;Q5zV3#*%zN>yr|L|=ywvLQj=@xxEd(}&W> z(8hHg$|Vrs^&RuvH#C<=!d~2P%;~`m zdWS7m<6KueM|_f%F&=F#FsBcqpd?*7d6z(1)zvL^?F|5F(_g% zKRlcfhM_i1G31W~W}J5Pd7aH+uSZdpn0k*{q+!Xy!^wuHo(?KhPeBel;BI{r=!Q-n z9WczSHMx9tGJ+cl5`u|VLW^Ngshsu@C_#CfCWsN+8n`{fCvUtJQ}NgF5`%p8Dt6)2 z>O0`qAyB?0vIq-a^FKB@v-_Ak$8gbkgkGJF+PH}O5U3qQ2*tt6HYFZG{6=1}YGlBwA89g;9jb=9)6-P&ih}K~rdY8YLl$>@0Sh!kmNV4mY z(AY(nn{%>sd@xk1u6wDOEwkD&6tB#wj=8y*m?(_`;ucg`7sF(&Ant>CT3s~hy_eX8kWn% zbk6dxs6h-$c$a$M)@D7Sz{84|nDo8Fxz`5$Co6{IF1z&gsq&q0dM+LM-VSI2KC1a@ zYu|`BcM~JjHZEY5?1vP8lCdZud}0}Pe0k*P;q;E-E)Pr#CQ+|~A9q!QXWkKXt-NnC z>&1Y3LPW*nsF*ja;)3Ww+^(ybmnH$#0bH`1L2PZ zr@0U)b3F~lb7=Vj956A%!N*TFRC5yE1tm&{2Q1E}j}wG#;0eb}xqJ})7jWeCoOz1` zc)5Iz2Q|UzJv~Z}xKuD)3p4haAZ$EhQRo{NY%~}FBUcHh(BwrB1!EXS8jXY&(~B~d z=z{LFwFfi{gr{k8<;$1DrIw2*z8M?qH7f4bs->2LdTvk{3{mYUTWBg#RE0n(vNru7 zsDu?ue!M=E9;ISYBV=W#@_~DYvnsv+05AYGlDM_Rdv2;4s8E+Lik}kY^HQg2e$xF5 z1_o5aP+sK~xwr#TrEz}I%pVflsZzK&SD{d4%Z}K3E?j99?hLuP7OO{wwz}PaA5j$ouzsNJK*0eJqM@E?rRPYN<^C11J;>gk5R)aGAB}s z2`nEGTbLFf&%_T;_ZXG7uhv)=z5XEyAt7q%xr`u$7un+tTGfGP))7|jhGnm+=fi`U zQq{qkXddKN%82_-?gzwFT2sVWuwJtX7`xQPgkKY7kSN@_xl+B!P(AtDSWn(678IC) zfk}@E<}nUPTZ_y^1#%BHFuRsZCCkSoixDmybjp39&0MJbCB3CEc)4&XiALveB{HQ$ z5}t=p#IK285}#L?Qf*;dss2Wz@33F*u zxpXx{EI;af4_VF2g9bZc=p)O)%jgYyy#BEC zv$*hjF$svLo)8hd%_E4FWxb|CnuVq(bHwhruXxX-u4)6x4~b8P47O*)E>-SPEoij* z%yXYUS-U!-^sDm=c(z}5nb^B2fCi=RU#9cIpp=z7GS#ul`2%JbGM~QiRM&0n3hY4 z4LD{TcO5qkf*|jsI)kKCA3qs~5?yzCg%wyfyxhK8JVyOBDg>@icov(xmV;lpAlbSf zv_`5{W6tZ-o{v77#7R}?>4_LM=yfg}oxz>G9$^`$pqC#107B*RaQZy@4@;(8xqWes z@auv-!fD5t%7X$|HHI6V#KQ*?vDIE6geeEYApZaYkEG336o|B;r?gte`^nyE>jBerM z(seVL?uO!FqBrJdw#)ZA*C(cx)T6{hIFv?Tq^$ZeF#-fN81U+KG{)*u$m;!|hgLA^ zA2>Dy7TguX*9Q3WJo*nuOu2rSO;4%^w7GtT#h=kQbj7&ChFrOF`6b2lAEaZ=K#sYu zM`+ENWU{&IjiI@Mmob@D6nH%+4)}dXt6Gc9zOgYJ&F94I2BW~;!D^*<5us<^I4oJ! z%TVcAj)GszNnsg675vMC+_$tnE2(oSQl%%!=cX}Q#^AJsTwsCAX_nIx&b0}pDRXg>%|OoGk@t=|c{rtKtx90J#8)zA z{h>;6;!@ri^)bQ0q%K@POnNT8HMvnR%9StPRmznPG=m2ozLQ9h;Nj-t%Y(j7M%a!s z3hcFV+aL%MMx?qnUmcjCP~>Ak_(!XG@oQ1=p4(EW>e*>(LG@l()DnuigmNw_1)1i@_nUFSJSp zFPT*#+*eUQ01FbzD!W_gmqUt$&?teC_nC(uGO=ParbLPYh{DVwL2m0;h`$3yckL~0 z3z6;?sL=f*MeYh`A296M3rmzB3o+V7hTx*?VgXwKRqzp*JOa`~gexh6m>fl8Q?E^N za_3J4+(~I<>Rbhh7crSqu?vEC44R)vagfVEgT+dNTa=DUASV4cI{1qd;u)FLx3nLU zRb!i&gD3()vM{3suQvput!n$2VN=6!;3!;1W9(M09U3FxRL1-tvdy3Aas8%HFDyZ- z`GJC}u&vCL7pbJbY|uW&$z%8_KqK=qD1zv3gu067t03U>3bWk6B%b5|2oMzpWww|; zW~2dT-_;^$0yGsb3p2nQxQ?F8+eTn~DIG?`QEjb~y#!2WkLi2Q={(C>+B7TYEP=Cd z2BYBsRxa*V3RYGAu2EoZ{{X150f2tdLJD|H3yS!&Pyu+2-lJ^o-BvA>hdC4d+6aYqmtXZMVkXs_Bf zszIo8C@5Z-6jHvZb;{K07(ID!GOL2E0%3ip*r_gPQZ%FS5qi+IEbAR`lun``m(Peq zDKVY+hm;mpXsrJ531Ob9(h)*n;c6*#r^Nm9^FP1y6OxaZuNyrAlRDQz}%bX^7_#wOgpwGTtEw^HQcvmJjc9_b<#}+3F?G z)Wd5c;pf^6OYJdcgZ!EOr@Xj%cm784Z!0S zOKt$}BN{(sKmGDhp-r`c0dt#5PBRU0G5mtD=5LfS@JCgoZhPtuQN1JNl%7{}`;yAg z{3XYx6c8U>AF&f{{;mx`dt6aWPB8OYB>`3b!(S%bHiQaQT@ly--;7NDl$Kr~yHqrD z4wpAzs>}h{95}Il(Dt8?;(u4=5L@8|>jV2kMreEg0JFsbrepE0qR(l1 z)GLxZt6M(PP5`^s<%MP`e^0~*my-2l1+#HoBWE0`ZQBxZz{~(v0sF_C)PHg2Yw&+E z!hcI*i&mHH%XK>$gMs`82bVSe@GkRz=MHTdec)&X42QHd=9rWb^!=q!>;4h=ZJ#Vk zpec{ME?Nc7-ibS5Guc!T{N)E3UMyJtRa+O`Zp1aY~RI4 zcBS3>#ze|$Dx-y4P!qseD}FN`i+Txbt-so6jsF0a2PCh+%yCPGj5l0u!}=0DjAJey zmvEO1%EV7Y!O0%uAJI8E*1c*70>j@z!xb$|d$2End}2{Dk_wDu^$a$871Ql9P&ynI zlVN%P0I2@GpS%XHr7f=i04Otj{{Rxj>w~xo25UD1X55GcRw)qOFY$z*R{jx!7UTFX zUn&NW)0seuxjf=1ECCs7KdOvRIv`tjC(1A`goAX2Ml=W06=Ur(&=oLp z<8yJm)F;c^?#{|G&u%5QJ)jo`@A^HU@MeY(2L^?z_+Yo#_uW82r}CfLd4N`Xe-i-% zPvwHIZ}G7fikg~Sf5SV9pO}nWzw(bDjHl%f;FLfYuW9>H`!a)4#Xge$02S*@$gm4u zKddkzT>>I2I>6AuPYkN}jj-R~fWg(Dymtk)b?*Rz;k^4xhd}=TW+9GheBDcm$@{T3 zmS^u!1_h2Vu9x=BtMp@(0F64hiO5&|yBeqmS+nj_ zFkG<2qULe#L32SJ#!tzg+<*x5+3)X*)jfma<0G3us zFXIj(Jo%Zi4ICbe;GHGQmoJK%^a)b&$q&SJ4Dfg#SV03U2=j?~zWrQP-F!tK^ z9YGQmwVK|!Aul#_t9eOD2%g}Z7E*}vZq0-;Ja#u|GQ zWAI9?6fx<X7%CU-Dd?4^P(jip&kbP`5CBzC*SvcZCS@dg+~|$0Hs?A$qRVyz z*>NSMAREMFNhrM!q-6^`!zGO>%sYxRG<(F?z(tF{5c<9Ef334JT>Ayi$_bZ_$+6-%KKyhKG+U77Cl z66w@yuDQ8#rEpHX8ER5ed_iL4fZU=Oii;{#?pp_F6%P>+A;IK?xVx6;65i7M%j2j8 z?~?denNtl*YtY%qFbIbY_XP*C#Bftmq78TAQnED}R{f&^4$o-GL?AFKwcJ7yy+lDM zg}(BrHNvsU+_;rf&Y^3;_$Ctt{b{MF&v9MZwh;SJZWEDE1y0Xs0{SsBK@q=ry0XiT z<6a)35Dc(Xuv*&0vLf2bh~-VY?l2bGfHbyrR3m|CTxyVdghyN>02|UVMcNHVeb9e) z42T{?Fa6W)yK&wX`kK^f_Q*R zT%rJcOocvKO-Za)-rbVu`|SCd74=YU+qB3M;YyzJl!Nzj^@UVwS+eMe=d^r75G(Ik znuxIK7;S@V`UL4J4DuU+93GSCeH(Df^gJBmVkvlK#lJ~V?~9KQBAB~a0bz7?2@8G_ z&@GcciDOvl_nA<%wYa5&gA5R%OIIwYS(c?6X*+_85lu^4tNTF92-Z!@ad#+yiwdJL zn8t}s`HTtoGpM?R?x9pIh&Uc5;xc{sn-N#c))L6jnb=>%6ez!lmaSX`&A`VW zB%v#85}vnkEMK~6vU~BLGo+*5QHzfk3;1do)x`~clm$@@n_8D*u_-7EKs}AXeh9BnW15x9 z?}I-{P$f#>j|91KmC6N!dWk9+Zd`kn6#)#(o0SGxI*sO952sS4K48?jexN?+vgj8x z_61t1mL{{@tG|hm2i=HpQNb`EWq(M64*_?6J|i59B~-=^4&`XUizKgoleo$yK*XXR zqqy+!gk5(OA-9qf8|+u2{S_)Ryms(BmBGd>%sYmqPjtk@vJXcf+XM&xmWB<^$qXP4Gse?K_7vhEpl@U!%R*QM|KJ4XazaBh^Da zBh;V@S0j_%@eBF4?vxhAGX2QcL@4a83047()7&h|3U+p+{XL#8sE< zjvkngp!Gb$bu{7O)JO^;!NbE6RAOcfaB%B_J@7bq+IElT8sl%P<^&9WmdGLLxUeo$ z1383s%}V_P!?<%NQ?%+_cJP-efy?`m3c!73V{Bcy!r|f@sY438d_rkex9tEv0s|_0 ziKwCx|vHOBt{RpuIpas3x> XS>sL(!a8|BMDXq&nbR&@eFXp6i&49! literal 0 HcmV?d00001